yosys.git
4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Thu, 2 Jan 2020 23:14:12 +0000 (15:14 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1604 from whitequark/unify-ram-naming
whitequark [Thu, 2 Jan 2020 21:06:17 +0000 (21:06 +0000)]
Merge pull request #1604 from whitequark/unify-ram-naming

Harmonize BRAM/LUTRAM descriptions across all of Yosys

4 years agoMerge pull request #1609 from YosysHQ/clifford/fix1596
Clifford Wolf [Thu, 2 Jan 2020 18:57:27 +0000 (19:57 +0100)]
Merge pull request #1609 from YosysHQ/clifford/fix1596

Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputs

4 years agoAlways create $shl, $shr, $sshl, $sshr cells with unsigned B inputs
Clifford Wolf [Thu, 2 Jan 2020 17:58:45 +0000 (18:58 +0100)]
Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputs

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1601 from YosysHQ/eddie/synth_retime
Eddie Hung [Thu, 2 Jan 2020 16:46:24 +0000 (08:46 -0800)]
Merge pull request #1601 from YosysHQ/eddie/synth_retime

"abc -dff" to no longer retime by default

4 years agoMerge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS
Eddie Hung [Thu, 2 Jan 2020 16:46:02 +0000 (08:46 -0800)]
Merge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS

ifdef __ICARUS__ -> ifndef YOSYS

4 years agoifdef __ICARUS__ -> ifndef YOSYS
Eddie Hung [Thu, 2 Jan 2020 01:33:10 +0000 (17:33 -0800)]
ifdef __ICARUS__ -> ifndef YOSYS

4 years agoMerge pull request #1606 from YosysHQ/eddie/improve_tests
Eddie Hung [Wed, 1 Jan 2020 21:31:46 +0000 (13:31 -0800)]
Merge pull request #1606 from YosysHQ/eddie/improve_tests

Fix a few issues in tests/arch/*

4 years agoRevert insertion of 'reg', leave note behind
Eddie Hung [Wed, 1 Jan 2020 17:05:46 +0000 (09:05 -0800)]
Revert insertion of 'reg', leave note behind

4 years agoMerge pull request #1605 from YosysHQ/iopad_fix
Miodrag Milanović [Wed, 1 Jan 2020 16:46:45 +0000 (17:46 +0100)]
Merge pull request #1605 from YosysHQ/iopad_fix

iopad mapping should take care of existing io buffers

4 years agoFix anlogic async flop mapping
Eddie Hung [Wed, 1 Jan 2020 16:43:16 +0000 (08:43 -0800)]
Fix anlogic async flop mapping

4 years agoAdded a test case
Miodrag Milanovic [Wed, 1 Jan 2020 15:24:30 +0000 (16:24 +0100)]
Added a test case

4 years agotake skip wire bits into account
Miodrag Milanovic [Wed, 1 Jan 2020 15:13:14 +0000 (16:13 +0100)]
take skip wire bits into account

4 years agoHarmonize BRAM/LUTRAM descriptions across all of Yosys.
whitequark [Wed, 1 Jan 2020 12:30:00 +0000 (12:30 +0000)]
Harmonize BRAM/LUTRAM descriptions across all of Yosys.

This commit:
  * renames all remaining instances of "DRAM" (which is ambiguous)
    to "LUTRAM" (which is not), finishing the work started in
    the commit 698ab9be;
  * renames memory rule files to brams.txt/lutrams.txt;
  * adds/renames script labels map_bram/map_lutram;
  * extracts where necessary script labels map_ffram and map_gates;
  * adds where necessary options -nobram/-nolutram.

The end result is that BRAM/LUTRAM/FFRAM aspects of every target
are now consistent with each other.

Per architecture:
  * anlogic: rename drams.txt→lutrams.txt, add -nolutram, add
    :map_lutram, :map_ffram, :map_gates
  * ecp5: rename bram.txt→brams.txt, lutram.txt→lutrams.txt
  * efinix: rename bram.txt→brams.txt, add -nobram, add :map_ffram,
    :map_gates
  * gowin: rename bram.txt→brams.txt, dram.txt→lutrams.txt,
    rename -nodram→-nolutram (-nodram still recognized), rename
    :bram→:map_bram, :dram→:map_lutram, add :map_ffram, :map_gates

4 years agoDo not do call equiv_opt when no sim model exists
Eddie Hung [Wed, 1 Jan 2020 02:40:30 +0000 (18:40 -0800)]
Do not do call equiv_opt when no sim model exists

4 years agoFix warnings
Eddie Hung [Wed, 1 Jan 2020 02:40:11 +0000 (18:40 -0800)]
Fix warnings

4 years agoCall equiv_opt with -multiclock and -assert
Eddie Hung [Wed, 1 Jan 2020 02:39:32 +0000 (18:39 -0800)]
Call equiv_opt with -multiclock and -assert

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 07:10:08 +0000 (23:10 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoRemove abc9 -clk option
Eddie Hung [Tue, 31 Dec 2019 06:59:14 +0000 (22:59 -0800)]
Remove abc9 -clk option

4 years agoabc9_ops -prep_dff cope with lack of holes module
Eddie Hung [Tue, 31 Dec 2019 06:58:39 +0000 (22:58 -0800)]
abc9_ops -prep_dff cope with lack of holes module

4 years agoRename struct
Eddie Hung [Tue, 31 Dec 2019 06:56:19 +0000 (22:56 -0800)]
Rename struct

4 years agoGet rid of holes_mode
Eddie Hung [Tue, 31 Dec 2019 02:24:29 +0000 (18:24 -0800)]
Get rid of holes_mode

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 04:14:24 +0000 (20:14 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoAdd -D DFF_MODE to abc9_map test
Eddie Hung [Tue, 31 Dec 2019 04:13:25 +0000 (20:13 -0800)]
Add -D DFF_MODE to abc9_map test

4 years agoMove Pass::call() out of abc9_ops into abc9
Eddie Hung [Tue, 31 Dec 2019 03:23:54 +0000 (19:23 -0800)]
Move Pass::call() out of abc9_ops into abc9

4 years agoCleanup
Eddie Hung [Tue, 31 Dec 2019 02:49:33 +0000 (18:49 -0800)]
Cleanup

4 years agoUse function arg
Eddie Hung [Tue, 31 Dec 2019 02:47:06 +0000 (18:47 -0800)]
Use function arg

4 years agoholes_module to be whitebox
Eddie Hung [Tue, 31 Dec 2019 02:46:22 +0000 (18:46 -0800)]
holes_module to be whitebox

4 years agoRid unnecessary if
Eddie Hung [Tue, 31 Dec 2019 02:26:35 +0000 (18:26 -0800)]
Rid unnecessary if

4 years agoGet rid of holes_mode
Eddie Hung [Tue, 31 Dec 2019 02:24:29 +0000 (18:24 -0800)]
Get rid of holes_mode

4 years agoAdd abc9_ops -prep_holes
Eddie Hung [Tue, 31 Dec 2019 02:00:49 +0000 (18:00 -0800)]
Add abc9_ops -prep_holes

4 years agoAdd abc9_ops -prep_dff
Eddie Hung [Tue, 31 Dec 2019 00:36:33 +0000 (16:36 -0800)]
Add abc9_ops -prep_dff

4 years agoRestore count_outputs, move process check to abc
Eddie Hung [Tue, 31 Dec 2019 00:29:08 +0000 (16:29 -0800)]
Restore count_outputs, move process check to abc

4 years agoFix struct name
Eddie Hung [Tue, 31 Dec 2019 00:21:20 +0000 (16:21 -0800)]
Fix struct name

4 years agoMerge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 00:20:58 +0000 (16:20 -0800)]
Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactor

4 years agoRemove delay targets doc
Eddie Hung [Tue, 31 Dec 2019 00:11:42 +0000 (16:11 -0800)]
Remove delay targets doc

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Tue, 31 Dec 2019 00:01:38 +0000 (16:01 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agowrite_xaiger to use scratchpad for stats; cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 23:35:33 +0000 (15:35 -0800)]
write_xaiger to use scratchpad for stats; cleanup abc9

4 years agoGrammar
Eddie Hung [Mon, 30 Dec 2019 20:26:39 +0000 (12:26 -0800)]
Grammar

4 years agoRemove submod changes
Eddie Hung [Mon, 30 Dec 2019 22:56:14 +0000 (14:56 -0800)]
Remove submod changes

4 years agoUpdate timings for Xilinx S7 cells
Eddie Hung [Fri, 27 Dec 2019 20:15:33 +0000 (12:15 -0800)]
Update timings for Xilinx S7 cells

4 years agoRemove unused
Eddie Hung [Mon, 30 Dec 2019 22:35:52 +0000 (14:35 -0800)]
Remove unused

4 years agoDo not offset FD* box timings due to -46ps Tsu
Eddie Hung [Fri, 27 Dec 2019 20:03:19 +0000 (12:03 -0800)]
Do not offset FD* box timings due to -46ps Tsu

4 years agoCall "proc" if processes inside whiteboxes
Eddie Hung [Mon, 30 Dec 2019 22:33:05 +0000 (14:33 -0800)]
Call "proc" if processes inside whiteboxes

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 30 Dec 2019 22:31:42 +0000 (14:31 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd CHANGELOG entry, add abc9_{flop,keep} attr to README.md
Eddie Hung [Mon, 30 Dec 2019 22:24:58 +0000 (14:24 -0800)]
Add CHANGELOG entry, add abc9_{flop,keep} attr to README.md

4 years agoTidy up abc9_map.v
Eddie Hung [Mon, 30 Dec 2019 22:19:29 +0000 (14:19 -0800)]
Tidy up abc9_map.v

4 years agoAdd "synth_xilinx -dff" option, cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 22:13:16 +0000 (14:13 -0800)]
Add "synth_xilinx -dff" option, cleanup abc9

4 years agoUpdate doc that "-retime" calls abc with "-dff -D 1"
Eddie Hung [Mon, 30 Dec 2019 20:11:45 +0000 (12:11 -0800)]
Update doc that "-retime" calls abc with "-dff -D 1"

4 years agoDisable synth_gowin -abc9 as it offers no advantages yet
Eddie Hung [Mon, 30 Dec 2019 20:05:52 +0000 (12:05 -0800)]
Disable synth_gowin -abc9 as it offers no advantages yet

4 years agoRevert "Revert "synth_* with -retime option now calls abc with -D 1 as well""
Eddie Hung [Mon, 30 Dec 2019 20:09:53 +0000 (12:09 -0800)]
Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""

This reverts commit 6008bb7002f874e5c748eaa2050e7b6c17b32745.

4 years agoRevert "ABC to call retime all the time"
Eddie Hung [Mon, 30 Dec 2019 19:57:18 +0000 (11:57 -0800)]
Revert "ABC to call retime all the time"

This reverts commit 9aa94370a54c016421740d2ce32ef0aa338d0dbd.

4 years agoGrammar
Eddie Hung [Mon, 30 Dec 2019 20:26:39 +0000 (12:26 -0800)]
Grammar

4 years agoMerge pull request #1589 from YosysHQ/iopad_default
Miodrag Milanović [Mon, 30 Dec 2019 19:34:31 +0000 (20:34 +0100)]
Merge pull request #1589 from YosysHQ/iopad_default

Make iopad option default for all xilinx flows

4 years agoMerge pull request #1599 from YosysHQ/eddie/retry_1588
Eddie Hung [Mon, 30 Dec 2019 18:01:02 +0000 (10:01 -0800)]
Merge pull request #1599 from YosysHQ/eddie/retry_1588

Retry #1588 -- "write_xaiger: only instantiate each whitebox cell type once"

4 years agoMerge pull request #1600 from YosysHQ/eddie/cleanup_ecp5
Eddie Hung [Mon, 30 Dec 2019 18:00:47 +0000 (10:00 -0800)]
Merge pull request #1600 from YosysHQ/eddie/cleanup_ecp5

Nitpick cleanup for ecp5

4 years agoFix new tests
Miodrag Milanovic [Sat, 28 Dec 2019 15:43:19 +0000 (16:43 +0100)]
Fix new tests

4 years agoMerge remote-tracking branch 'origin/master' into iopad_default
Miodrag Milanovic [Sat, 28 Dec 2019 15:23:31 +0000 (16:23 +0100)]
Merge remote-tracking branch 'origin/master' into iopad_default

4 years agoMake test without iopads
Miodrag Milanovic [Sat, 28 Dec 2019 15:22:24 +0000 (16:22 +0100)]
Make test without iopads

4 years agoRevert "Fix xilinx tests, when iopads are default"
Miodrag Milanovic [Sat, 28 Dec 2019 15:12:45 +0000 (16:12 +0100)]
Revert "Fix xilinx tests, when iopads are default"

This reverts commit 477e43d921d204c6bc6403109fea6506802c948c.

4 years agoabc9_techmap -> _map; called from abc9 script pass along with abc9_ops
Eddie Hung [Sat, 28 Dec 2019 13:07:46 +0000 (05:07 -0800)]
abc9_techmap -> _map; called from abc9 script pass along with abc9_ops

4 years agoRename abc9.cc -> abc9_techmap.cc
Eddie Hung [Sat, 28 Dec 2019 11:16:28 +0000 (03:16 -0800)]
Rename abc9.cc -> abc9_techmap.cc

4 years agoUpdate resource count
Eddie Hung [Sat, 28 Dec 2019 10:15:11 +0000 (02:15 -0800)]
Update resource count

4 years agoNitpick cleanup for ecp5
Eddie Hung [Sat, 28 Dec 2019 00:57:08 +0000 (16:57 -0800)]
Nitpick cleanup for ecp5

4 years agoAdd #1598 testcase
Eddie Hung [Sat, 28 Dec 2019 00:44:57 +0000 (16:44 -0800)]
Add #1598 testcase

4 years agowrite_xaiger: inherit port ordering from original module
Eddie Hung [Sat, 28 Dec 2019 00:44:18 +0000 (16:44 -0800)]
write_xaiger: inherit port ordering from original module

4 years agoRevert "Merge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup"
Eddie Hung [Sat, 28 Dec 2019 00:05:58 +0000 (16:05 -0800)]
Revert "Merge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup"

This reverts commit 92654f73ea92ee9e390c8ab50d8cb51c47a7ffa9, reversing
changes made to 3e14ff16676884a1f65cf0eeb0ca9cb1958b8804.

4 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Fri, 27 Dec 2019 23:37:26 +0000 (15:37 -0800)]
Merge branch 'master' of github.com:YosysHQ/yosys

4 years agowrite_xaiger: simplify c{i,o}_bits
Eddie Hung [Fri, 27 Dec 2019 23:35:19 +0000 (15:35 -0800)]
write_xaiger: simplify c{i,o}_bits

4 years agoMerge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup
David Shah [Fri, 27 Dec 2019 23:31:51 +0000 (23:31 +0000)]
Merge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup

Revert "write_xaiger: only instantiate each whitebox cell type once"

4 years agoRevert "write_xaiger: only instantiate each whitebox cell type once"
David Shah [Fri, 27 Dec 2019 23:25:20 +0000 (23:25 +0000)]
Revert "write_xaiger: only instantiate each whitebox cell type once"

4 years agoReally fix it!
Eddie Hung [Fri, 27 Dec 2019 23:18:55 +0000 (15:18 -0800)]
Really fix it!

4 years agowrite_xaiger: fix arrival times for non boxes
Eddie Hung [Fri, 27 Dec 2019 19:30:18 +0000 (11:30 -0800)]
write_xaiger: fix arrival times for non boxes

4 years agofixed invalid char
Miodrag Milanovic [Wed, 25 Dec 2019 19:38:48 +0000 (20:38 +0100)]
fixed invalid char

4 years agoiopadmap: Emit tristate buffers with const OE for some edge cases.
Marcin Kościelnicki [Sun, 22 Dec 2019 00:08:56 +0000 (01:08 +0100)]
iopadmap: Emit tristate buffers with const OE for some edge cases.

4 years agoMerge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen
Marcin Kościelnicki [Wed, 25 Dec 2019 15:18:44 +0000 (16:18 +0100)]
Merge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen

xilinx_dsp: Initial DSP48A/DSP48A1 support.

4 years agoMinor nit fixes
Marcin Kościelnicki [Wed, 25 Dec 2019 14:39:40 +0000 (15:39 +0100)]
Minor nit fixes

4 years agoAdd DSP cascade tests
Eddie Hung [Mon, 23 Dec 2019 22:58:06 +0000 (14:58 -0800)]
Add DSP cascade tests

4 years agoFix OPMODE for PCIN->PCOUT cascades in xc6s, check B[01]REG too
Eddie Hung [Mon, 23 Dec 2019 22:40:59 +0000 (14:40 -0800)]
Fix OPMODE for PCIN->PCOUT cascades in xc6s, check B[01]REG too

4 years agoFix CEA/CEB check
Eddie Hung [Mon, 23 Dec 2019 22:22:13 +0000 (14:22 -0800)]
Fix CEA/CEB check

4 years agoFix checking CE[AB] and for direct connections
Eddie Hung [Mon, 23 Dec 2019 21:41:26 +0000 (13:41 -0800)]
Fix checking CE[AB] and for direct connections

4 years agoSupport unregistered cascades for A and B inputs
Eddie Hung [Mon, 23 Dec 2019 20:38:18 +0000 (12:38 -0800)]
Support unregistered cascades for A and B inputs

4 years agoAdd DSP48A* PCOUT -> PCIN cascade support
Eddie Hung [Mon, 23 Dec 2019 19:42:46 +0000 (11:42 -0800)]
Add DSP48A* PCOUT -> PCIN cascade support

4 years agoxilinx: Test our DSP48A/DSP48A1 simulation models.
Marcin Kościelnicki [Sun, 22 Dec 2019 14:30:04 +0000 (14:30 +0000)]
xilinx: Test our DSP48A/DSP48A1 simulation models.

4 years agoDisable clock domain partitioning in Yosys pass, let ABC do it
Eddie Hung [Mon, 23 Dec 2019 16:36:20 +0000 (08:36 -0800)]
Disable clock domain partitioning in Yosys pass, let ABC do it

4 years agowrite_xaiger to opt instead of just clean whiteboxes
Eddie Hung [Mon, 23 Dec 2019 16:35:53 +0000 (08:35 -0800)]
write_xaiger to opt instead of just clean whiteboxes

4 years agoxilinx_dsp: Initial DSP48A/DSP48A1 support.
Marcin Kościelnicki [Sun, 22 Dec 2019 19:43:39 +0000 (20:43 +0100)]
xilinx_dsp: Initial DSP48A/DSP48A1 support.

4 years agoAddressed review comments
Miodrag Milanovic [Sat, 21 Dec 2019 19:23:23 +0000 (20:23 +0100)]
Addressed review comments

4 years agoiopad no op for compatibility with old scripts
Miodrag Milanovic [Sat, 21 Dec 2019 12:21:45 +0000 (13:21 +0100)]
iopad no op for compatibility with old scripts

4 years agoFix xilinx tests, when iopads are default
Miodrag Milanovic [Sat, 21 Dec 2019 12:18:44 +0000 (13:18 +0100)]
Fix xilinx tests, when iopads are default

4 years agoMake iopad option default for all xilinx flows
Miodrag Milanovic [Sat, 21 Dec 2019 10:56:41 +0000 (11:56 +0100)]
Make iopad option default for all xilinx flows

4 years agoMerge pull request #1588 from YosysHQ/eddie/xaiger_cleanup
Eddie Hung [Fri, 20 Dec 2019 22:56:08 +0000 (14:56 -0800)]
Merge pull request #1588 from YosysHQ/eddie/xaiger_cleanup

write_xaiger: only instantiate each whitebox cell type once

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Fri, 20 Dec 2019 22:07:23 +0000 (14:07 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd abc9_arrival times for RAM{32,64}M
Eddie Hung [Fri, 20 Dec 2019 22:06:59 +0000 (14:06 -0800)]
Add abc9_arrival times for RAM{32,64}M

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Fri, 20 Dec 2019 21:56:13 +0000 (13:56 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd RAM{32,64}M to abc9_map.v
Eddie Hung [Thu, 19 Dec 2019 19:24:39 +0000 (11:24 -0800)]
Add RAM{32,64}M to abc9_map.v

4 years agoPut specify/endspecify inside ``
Eddie Hung [Fri, 20 Dec 2019 21:38:32 +0000 (13:38 -0800)]
Put specify/endspecify inside ``

4 years agoMerge pull request #1585 from YosysHQ/eddie/fix_abc9_lut
Eddie Hung [Fri, 20 Dec 2019 21:09:00 +0000 (13:09 -0800)]
Merge pull request #1585 from YosysHQ/eddie/fix_abc9_lut

Interpret "abc9 -lut" as lut string only if [0-9:]

4 years agowrite_xaiger: only instantiate each whitebox cell type once
Eddie Hung [Fri, 20 Dec 2019 21:07:24 +0000 (13:07 -0800)]
write_xaiger: only instantiate each whitebox cell type once

4 years agoMerge pull request #1587 from YosysHQ/revert-1558-eddie/xaiger_cleanup
Eddie Hung [Fri, 20 Dec 2019 21:03:48 +0000 (13:03 -0800)]
Merge pull request #1587 from YosysHQ/revert-1558-eddie/xaiger_cleanup

Revert "Optimise write_xaiger"