yosys.git
6 years agoFixed bug where GP_COUNTx_ADV would wrap even when KEEP was high
Andrew Zonenberg [Mon, 14 Aug 2017 23:08:54 +0000 (16:08 -0700)]
Fixed bug where GP_COUNTx_ADV would wrap even when KEEP was high

6 years agoMerge branch 'azonenberg-rmports'
Clifford Wolf [Tue, 15 Aug 2017 09:32:55 +0000 (11:32 +0200)]
Merge branch 'azonenberg-rmports'

6 years agoMostly coding style related fixes in rmports pass
Clifford Wolf [Tue, 15 Aug 2017 09:32:35 +0000 (11:32 +0200)]
Mostly coding style related fixes in rmports pass

6 years agoMerge branch 'rmports' of https://github.com/azonenberg/yosys into azonenberg-rmports
Clifford Wolf [Tue, 15 Aug 2017 09:19:55 +0000 (11:19 +0200)]
Merge branch 'rmports' of https://github.com/azonenberg/yosys into azonenberg-rmports

6 years agoMerge pull request #381 from azonenberg/countfix
Clifford Wolf [Mon, 14 Aug 2017 19:47:26 +0000 (21:47 +0200)]
Merge pull request #381 from azonenberg/countfix

Added better behavioral models for GreenPAK counters. Refactored cells_sim into two files so analog/mixed signal stuff is separate

6 years agoMerge pull request #383 from azonenberg/abcfnames
Clifford Wolf [Mon, 14 Aug 2017 19:46:17 +0000 (21:46 +0200)]
Merge pull request #383 from azonenberg/abcfnames

abc: Allow +/ filenames in the abc command

6 years agoMerge pull request #382 from azonenberg/jsoniofix
Clifford Wolf [Mon, 14 Aug 2017 19:45:54 +0000 (21:45 +0200)]
Merge pull request #382 from azonenberg/jsoniofix

json: Parse inout correctly rather than as an output

6 years agoMerge pull request #384 from azonenberg/crtechlib
Clifford Wolf [Mon, 14 Aug 2017 19:45:29 +0000 (21:45 +0200)]
Merge pull request #384 from azonenberg/crtechlib

CoolRunner-II technology library improvements

6 years agocoolrunner2: Add INVERT parameter to some BUFGs
Robert Ou [Mon, 7 Aug 2017 11:01:18 +0000 (04:01 -0700)]
coolrunner2: Add INVERT parameter to some BUFGs

6 years agocoolrunner2: Add FFs with clock enable to cells_sim.v
Robert Ou [Tue, 1 Aug 2017 18:58:01 +0000 (11:58 -0700)]
coolrunner2: Add FFs with clock enable to cells_sim.v

6 years agoabc: Allow +/ filenames in the abc command
Robert Ou [Fri, 11 Aug 2017 04:10:07 +0000 (21:10 -0700)]
abc: Allow +/ filenames in the abc command

6 years agojson: Parse inout correctly rather than as an output
Robert Ou [Mon, 7 Aug 2017 20:37:01 +0000 (13:37 -0700)]
json: Parse inout correctly rather than as an output

6 years agormports: Now remove ports from cell instances if we optimized them out of that cell
Andrew Zonenberg [Mon, 14 Aug 2017 18:44:05 +0000 (11:44 -0700)]
rmports: Now remove ports from cell instances if we optimized them out of that cell

6 years agoProcessModule is no longer virtual (why was it in the first place?)
Andrew Zonenberg [Mon, 14 Aug 2017 18:18:09 +0000 (11:18 -0700)]
ProcessModule is no longer virtual (why was it in the first place?)

6 years agormports now works on all modules in the design, not just the top.
Andrew Zonenberg [Mon, 14 Aug 2017 18:16:44 +0000 (11:16 -0700)]
rmports now works on all modules in the design, not just the top.

6 years agoUpdated Makefile to reflect opt_rmports being renamed to rmports
Andrew Zonenberg [Mon, 14 Aug 2017 18:04:56 +0000 (11:04 -0700)]
Updated Makefile to reflect opt_rmports being renamed to rmports

6 years agoRenamed opt_rmports pass to rmports
Andrew Zonenberg [Mon, 14 Aug 2017 18:00:18 +0000 (11:00 -0700)]
Renamed opt_rmports pass to rmports

6 years agoFixed typo in GP_COUNT8 sim model
Andrew Zonenberg [Fri, 11 Aug 2017 23:55:31 +0000 (16:55 -0700)]
Fixed typo in GP_COUNT8 sim model

6 years agoFixed typo in error message
Andrew Zonenberg [Tue, 8 Aug 2017 03:46:00 +0000 (20:46 -0700)]
Fixed typo in error message

6 years agoChanged LEVEL resets for GP_COUNTx to be properly synthesizeable
Andrew Zonenberg [Tue, 8 Aug 2017 03:42:19 +0000 (20:42 -0700)]
Changed LEVEL resets for GP_COUNTx to be properly synthesizeable

6 years agoChanged LEVEL resets to be edge triggered anyway
Andrew Zonenberg [Tue, 8 Aug 2017 03:33:08 +0000 (20:33 -0700)]
Changed LEVEL resets to be edge triggered anyway

6 years agoAdded level-triggered reset support to GP_COUNTx simulation models
Andrew Zonenberg [Tue, 8 Aug 2017 03:29:05 +0000 (20:29 -0700)]
Added level-triggered reset support to GP_COUNTx simulation models

6 years agoFixed undeclared "count" in GP_COUNT8_ADV
Andrew Zonenberg [Tue, 8 Aug 2017 03:21:55 +0000 (20:21 -0700)]
Fixed undeclared "count" in GP_COUNT8_ADV

6 years agoFixed undeclared "count" in GP_COUNT14_ADV
Andrew Zonenberg [Tue, 8 Aug 2017 03:21:18 +0000 (20:21 -0700)]
Fixed undeclared "count" in GP_COUNT14_ADV

6 years agoFixed typo in last commit
Andrew Zonenberg [Tue, 8 Aug 2017 03:20:17 +0000 (20:20 -0700)]
Fixed typo in last commit

6 years agoFinished initial GP_COUNT8/14/8_ADV/14_ADV sim models. Don't support clock divide...
Andrew Zonenberg [Tue, 8 Aug 2017 03:19:17 +0000 (20:19 -0700)]
Finished initial GP_COUNT8/14/8_ADV/14_ADV sim models. Don't support clock divide, but do everything else.

6 years agoFixed typo in COUNT8 model
Andrew Zonenberg [Mon, 7 Aug 2017 22:49:30 +0000 (15:49 -0700)]
Fixed typo in COUNT8 model

6 years agoMoved GP_POR out of digital cells b/c it has delays
Andrew Zonenberg [Sun, 6 Aug 2017 15:40:23 +0000 (08:40 -0700)]
Moved GP_POR out of digital cells b/c it has delays

6 years agoImproved cells_sim_digital model for GP_COUNT8
Andrew Zonenberg [Sun, 6 Aug 2017 00:33:44 +0000 (17:33 -0700)]
Improved cells_sim_digital model for GP_COUNT8

6 years agoRefactored GreenPAK4 cells_sim into cells_sim_ams and cells_sim_digital
Andrew Zonenberg [Sat, 5 Aug 2017 23:33:24 +0000 (16:33 -0700)]
Refactored GreenPAK4 cells_sim into cells_sim_ams and cells_sim_digital

6 years agoImproved handling of constant connections in opt_rmports
Andrew Zonenberg [Fri, 11 Aug 2017 23:47:07 +0000 (16:47 -0700)]
Improved handling of constant connections in opt_rmports

6 years agoFixed handling of cell ports that aren't wires
Andrew Zonenberg [Fri, 11 Aug 2017 23:16:25 +0000 (16:16 -0700)]
Fixed handling of cell ports that aren't wires

6 years agoopt_rmports: Fixed incorrect handling of multi-bit nets
Andrew Zonenberg [Fri, 11 Aug 2017 22:07:27 +0000 (15:07 -0700)]
opt_rmports: Fixed incorrect handling of multi-bit nets

6 years agoRemoved commented out debug code
Andrew Zonenberg [Fri, 11 Aug 2017 20:46:01 +0000 (13:46 -0700)]
Removed commented out debug code

6 years agoAdded opt_rmports pass (remove unconnected ports from top-level modules)
Andrew Zonenberg [Fri, 11 Aug 2017 20:40:37 +0000 (13:40 -0700)]
Added opt_rmports pass (remove unconnected ports from top-level modules)

6 years agoAdd support for set-reset cell variants to opt_rmdff
Clifford Wolf [Wed, 9 Aug 2017 11:29:52 +0000 (13:29 +0200)]
Add support for set-reset cell variants to opt_rmdff

6 years agoAuto-detect JSON front-end
Clifford Wolf [Wed, 9 Aug 2017 11:28:52 +0000 (13:28 +0200)]
Auto-detect JSON front-end

6 years agoAdd handling of constant reset signals to opt_rmdff
Clifford Wolf [Sun, 6 Aug 2017 11:27:18 +0000 (13:27 +0200)]
Add handling of constant reset signals to opt_rmdff

6 years agoAdd "yosys-smtbmc --smtc-init --smtc-top --noinit"
Clifford Wolf [Fri, 4 Aug 2017 15:09:08 +0000 (17:09 +0200)]
Add "yosys-smtbmc --smtc-init --smtc-top --noinit"

6 years agoAdd "-undefined dynamic_lookup" to OSX "yosys-config --ldflags"
Clifford Wolf [Fri, 4 Aug 2017 09:24:58 +0000 (11:24 +0200)]
Add "-undefined dynamic_lookup" to OSX "yosys-config --ldflags"

6 years agoFix typo in "abc" pass help message
Clifford Wolf [Sat, 29 Jul 2017 14:21:58 +0000 (16:21 +0200)]
Fix typo in "abc" pass help message

6 years agoAdd merging of "past FFs" to verific importer
Clifford Wolf [Fri, 28 Jul 2017 22:07:02 +0000 (00:07 +0200)]
Add merging of "past FFs" to verific importer

6 years agoAdd consolidation of init attributes to opt_clean, some opt_clean log fixes
Clifford Wolf [Fri, 28 Jul 2017 21:11:52 +0000 (23:11 +0200)]
Add consolidation of init attributes to opt_clean, some opt_clean log fixes

6 years agoAdd minimal support for PSL in VHDL via Verific
Clifford Wolf [Fri, 28 Jul 2017 15:37:09 +0000 (17:37 +0200)]
Add minimal support for PSL in VHDL via Verific

6 years agoAdd simple VHDL+PSL example
Clifford Wolf [Fri, 28 Jul 2017 13:33:30 +0000 (15:33 +0200)]
Add simple VHDL+PSL example

6 years agoImprove Verific HDL language options
Clifford Wolf [Fri, 28 Jul 2017 13:32:54 +0000 (15:32 +0200)]
Improve Verific HDL language options

6 years agoFix handling of non-user-declared Verific netbus
Clifford Wolf [Fri, 28 Jul 2017 09:31:27 +0000 (11:31 +0200)]
Fix handling of non-user-declared Verific netbus

6 years agoImprove Verific SVA importer
Clifford Wolf [Thu, 27 Jul 2017 12:05:09 +0000 (14:05 +0200)]
Improve Verific SVA importer

6 years agoAdd counter.sv SVA test
Clifford Wolf [Thu, 27 Jul 2017 10:37:16 +0000 (12:37 +0200)]
Add counter.sv SVA test

6 years agoAdd log_warning_noprefix() API, Use for Verific warnings and errors
Clifford Wolf [Thu, 27 Jul 2017 10:17:04 +0000 (12:17 +0200)]
Add log_warning_noprefix() API, Use for Verific warnings and errors

6 years agoAdd "verific -import -n" and "verific -import -nosva"
Clifford Wolf [Thu, 27 Jul 2017 09:54:45 +0000 (11:54 +0200)]
Add "verific -import -n" and "verific -import -nosva"

6 years agoImprove SVA tests, add Makefile and scripts
Clifford Wolf [Thu, 27 Jul 2017 09:42:05 +0000 (11:42 +0200)]
Improve SVA tests, add Makefile and scripts

6 years agoImprove Verific SVA import: negedge and $past
Clifford Wolf [Thu, 27 Jul 2017 09:40:07 +0000 (11:40 +0200)]
Improve Verific SVA import: negedge and $past

6 years agoImprove Verific SVA importer
Clifford Wolf [Thu, 27 Jul 2017 08:39:39 +0000 (10:39 +0200)]
Improve Verific SVA importer

6 years agoAdd "opt_expr -fine" feature to remove neutral bits from reduce and logic operators
Clifford Wolf [Wed, 26 Jul 2017 16:28:55 +0000 (18:28 +0200)]
Add "opt_expr -fine" feature to remove neutral bits from reduce and logic operators

6 years agoImprove Verific bindings (mostly related to SVA)
Clifford Wolf [Wed, 26 Jul 2017 16:00:01 +0000 (18:00 +0200)]
Improve Verific bindings (mostly related to SVA)

6 years agoImprove "help verific" message
Clifford Wolf [Tue, 25 Jul 2017 13:13:22 +0000 (15:13 +0200)]
Improve "help verific" message

6 years agoAdd "verific -extnets"
Clifford Wolf [Tue, 25 Jul 2017 12:53:11 +0000 (14:53 +0200)]
Add "verific -extnets"

6 years agoAdd "using std::get" to yosys.h
Clifford Wolf [Tue, 25 Jul 2017 12:52:34 +0000 (14:52 +0200)]
Add "using std::get" to yosys.h

6 years agoImprove "verific -all" handling
Clifford Wolf [Tue, 25 Jul 2017 11:33:25 +0000 (13:33 +0200)]
Improve "verific -all" handling

6 years agoAdd "verific -import -d <dump_file"
Clifford Wolf [Mon, 24 Jul 2017 11:57:16 +0000 (13:57 +0200)]
Add "verific -import -d <dump_file"

6 years agoAdd "verific -import -flatten" and "verific -import -v"
Clifford Wolf [Mon, 24 Jul 2017 09:29:06 +0000 (11:29 +0200)]
Add "verific -import -flatten" and "verific -import -v"

6 years agoAdd more SVA test cases for future Verific work
Clifford Wolf [Sat, 22 Jul 2017 14:35:46 +0000 (16:35 +0200)]
Add more SVA test cases for future Verific work

6 years agoAdd "verific -import -k"
Clifford Wolf [Sat, 22 Jul 2017 14:16:44 +0000 (16:16 +0200)]
Add "verific -import -k"

6 years agoAdd error for cell output ports that are connected to constants
Clifford Wolf [Sat, 22 Jul 2017 13:08:30 +0000 (15:08 +0200)]
Add error for cell output ports that are connected to constants

6 years agoAdd some simple SVA test cases for future Verific work
Clifford Wolf [Sat, 22 Jul 2017 10:31:08 +0000 (12:31 +0200)]
Add some simple SVA test cases for future Verific work

6 years agoImprove docs for verific bindings, add simply sby example
Clifford Wolf [Sat, 22 Jul 2017 09:58:51 +0000 (11:58 +0200)]
Improve docs for verific bindings, add simply sby example

6 years agoFix handling of empty cell port assignments (i.e. ignore them)
Clifford Wolf [Fri, 21 Jul 2017 17:32:31 +0000 (19:32 +0200)]
Fix handling of empty cell port assignments (i.e. ignore them)

6 years agoFix "read_blif -wideports" handling of cells with wide ports
Clifford Wolf [Fri, 21 Jul 2017 14:21:04 +0000 (16:21 +0200)]
Fix "read_blif -wideports" handling of cells with wide ports

6 years agoAdd a paragraph about pre-defined macros to read_verilog help message
Clifford Wolf [Fri, 21 Jul 2017 12:34:53 +0000 (14:34 +0200)]
Add a paragraph about pre-defined macros to read_verilog help message

6 years agoAdd verilator support to testbenches generated by yosys-smtbmc
Clifford Wolf [Fri, 21 Jul 2017 12:33:29 +0000 (14:33 +0200)]
Add verilator support to testbenches generated by yosys-smtbmc

6 years agoChange intptr_t to uintptr_t in hashlib.h
Clifford Wolf [Tue, 18 Jul 2017 15:38:19 +0000 (17:38 +0200)]
Change intptr_t to uintptr_t in hashlib.h

6 years agoMerge pull request #363 from rqou/master
Clifford Wolf [Tue, 18 Jul 2017 13:21:12 +0000 (15:21 +0200)]
Merge pull request #363 from rqou/master

Miscellaneous build tweaks

6 years agomakefile: Add the option to use libtermcap
Robert Ou [Mon, 17 Jul 2017 21:21:59 +0000 (14:21 -0700)]
makefile: Add the option to use libtermcap

6 years agoFix build warnings for win64
Robert Ou [Mon, 17 Jul 2017 19:36:43 +0000 (12:36 -0700)]
Fix build warnings for win64

Win64 has a 32-bit long. Use intptr_t to work on any data model.

6 years agoAdd $alu to list of supported cells for "stat -width"
Clifford Wolf [Fri, 14 Jul 2017 09:32:49 +0000 (11:32 +0200)]
Add $alu to list of supported cells for "stat -width"

6 years agoGenerate FSM-style testbenches in smtbmc
Clifford Wolf [Wed, 12 Jul 2017 13:57:04 +0000 (15:57 +0200)]
Generate FSM-style testbenches in smtbmc

6 years agoFix the fixed handling of x-bits in EDIF back-end
Clifford Wolf [Tue, 11 Jul 2017 15:45:29 +0000 (17:45 +0200)]
Fix the fixed handling of x-bits in EDIF back-end

6 years agoFix handling of x-bits in EDIF back-end
Clifford Wolf [Tue, 11 Jul 2017 15:38:19 +0000 (17:38 +0200)]
Fix handling of x-bits in EDIF back-end

6 years agoAdd attributes and parameter support to JSON front-end
Clifford Wolf [Mon, 10 Jul 2017 11:17:38 +0000 (13:17 +0200)]
Add attributes and parameter support to JSON front-end

6 years agoAdd techlibs/xilinx/lut2lut.v
Clifford Wolf [Mon, 10 Jul 2017 10:09:05 +0000 (12:09 +0200)]
Add techlibs/xilinx/lut2lut.v

7 years agoAdd JSON front-end
Clifford Wolf [Sat, 8 Jul 2017 14:40:40 +0000 (16:40 +0200)]
Add JSON front-end

7 years agoChange s/asserts/assertions/ in yosys-smtbmc log messages
Clifford Wolf [Fri, 7 Jul 2017 09:52:25 +0000 (11:52 +0200)]
Change s/asserts/assertions/ in yosys-smtbmc log messages

7 years agoAdd "yosys-smtbmc --presat"
Clifford Wolf [Fri, 7 Jul 2017 00:47:30 +0000 (02:47 +0200)]
Add "yosys-smtbmc --presat"

7 years agoFix generation of multiple outputs for same AIG node in write_aiger
Clifford Wolf [Wed, 5 Jul 2017 12:23:54 +0000 (14:23 +0200)]
Fix generation of multiple outputs for same AIG node in write_aiger

7 years agoAdd write_table command
Clifford Wolf [Wed, 5 Jul 2017 10:13:53 +0000 (12:13 +0200)]
Add write_table command

7 years agoAdd Verific Release information to log
Clifford Wolf [Tue, 4 Jul 2017 18:01:30 +0000 (20:01 +0200)]
Add Verific Release information to log

7 years agoFix some c++ clang compiler errors
Clifford Wolf [Mon, 3 Jul 2017 17:38:30 +0000 (19:38 +0200)]
Fix some c++ clang compiler errors

7 years agoApply minor coding style changes to coolrunner2 target
Clifford Wolf [Mon, 3 Jul 2017 17:35:40 +0000 (19:35 +0200)]
Apply minor coding style changes to coolrunner2 target

7 years agoMerge pull request #352 from rqou/master
Clifford Wolf [Mon, 3 Jul 2017 17:33:36 +0000 (19:33 +0200)]
Merge pull request #352 from rqou/master

Initial Coolrunner-II support

7 years agoMerge pull request #356 from set-soft/clean-test
Clifford Wolf [Mon, 3 Jul 2017 17:33:25 +0000 (19:33 +0200)]
Merge pull request #356 from set-soft/clean-test

Added the test outputs to the clean target

7 years agoMerge pull request #355 from set-soft/exclude_TBUF_merge
Clifford Wolf [Mon, 3 Jul 2017 17:31:59 +0000 (19:31 +0200)]
Merge pull request #355 from set-soft/exclude_TBUF_merge

Excluded $_TBUF_ from opt_merge pass

7 years agoAdded the test outputs to the clean target
Salvador E. Tropea [Mon, 3 Jul 2017 16:33:11 +0000 (13:33 -0300)]
Added the test outputs to the clean target

7 years agoExcluded $_TBUF_ from opt_merge pass
Salvador E. Tropea [Mon, 3 Jul 2017 16:21:20 +0000 (13:21 -0300)]
Excluded $_TBUF_ from opt_merge pass

7 years agoRemove unneeded delays in smtbmc vlogtb
Clifford Wolf [Mon, 3 Jul 2017 13:37:17 +0000 (15:37 +0200)]
Remove unneeded delays in smtbmc vlogtb

7 years agoInclude output ports with constant driver in AIGER output
Clifford Wolf [Mon, 3 Jul 2017 12:53:17 +0000 (14:53 +0200)]
Include output ports with constant driver in AIGER output

7 years agoAdd "yosys-smtbmc --vlogtb-top"
Clifford Wolf [Sat, 1 Jul 2017 16:19:23 +0000 (18:19 +0200)]
Add "yosys-smtbmc --vlogtb-top"

7 years agoFix and_or_buffer optimization in opt_expr for signed operators
Clifford Wolf [Sat, 1 Jul 2017 14:05:26 +0000 (16:05 +0200)]
Fix and_or_buffer optimization in opt_expr for signed operators

7 years agoFix smtbmc vlogtb bug in $anyseq handling
Clifford Wolf [Sat, 1 Jul 2017 00:13:32 +0000 (02:13 +0200)]
Fix smtbmc vlogtb bug in $anyseq handling

7 years agoAdd "design -import"
Clifford Wolf [Fri, 30 Jun 2017 16:52:52 +0000 (18:52 +0200)]
Add "design -import"