yosys.git
4 years agoremove whitespace
Miodrag Milanovic [Fri, 10 Jan 2020 11:38:03 +0000 (12:38 +0100)]
remove whitespace

4 years agoUse CARRY4 for abc1 as well, preventing issues with Vivado
Miodrag Milanovic [Fri, 10 Jan 2020 11:34:21 +0000 (12:34 +0100)]
Use CARRY4 for abc1 as well, preventing issues with Vivado

4 years agoExport wire properties as well in EDIF
Miodrag Milanovic [Fri, 10 Jan 2020 11:33:58 +0000 (12:33 +0100)]
Export wire properties as well in EDIF

4 years agoBump version
Clifford Wolf [Thu, 9 Jan 2020 20:37:28 +0000 (21:37 +0100)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoFix abc9_xc7.box comments
Eddie Hung [Tue, 7 Jan 2020 23:59:18 +0000 (15:59 -0800)]
Fix abc9_xc7.box comments

4 years agoscc to use design->selected_modules() which avoids black/white-boxes
Eddie Hung [Mon, 6 Jan 2020 20:36:11 +0000 (12:36 -0800)]
scc to use design->selected_modules() which avoids black/white-boxes

4 years agoBump ABCREV for upstream fix
Eddie Hung [Tue, 7 Jan 2020 23:40:37 +0000 (15:40 -0800)]
Bump ABCREV for upstream fix

4 years agoMerge pull request #1618 from YosysHQ/eddie/aiger_fixes
Eddie Hung [Tue, 7 Jan 2020 22:45:31 +0000 (14:45 -0800)]
Merge pull request #1618 from YosysHQ/eddie/aiger_fixes

read_aiger fixes

4 years agoread_aiger: consistency between ascii and binary; also name latches
Eddie Hung [Tue, 7 Jan 2020 21:30:31 +0000 (13:30 -0800)]
read_aiger: consistency between ascii and binary; also name latches

4 years agoAdd testcases
Eddie Hung [Tue, 7 Jan 2020 19:44:20 +0000 (11:44 -0800)]
Add testcases

4 years agotests/aiger: write Yosys output
Eddie Hung [Tue, 7 Jan 2020 19:44:03 +0000 (11:44 -0800)]
tests/aiger: write Yosys output

4 years agoread_aiger: connect identical signals together
Eddie Hung [Tue, 7 Jan 2020 19:43:28 +0000 (11:43 -0800)]
read_aiger: connect identical signals together

4 years agoread_aiger: cope with latches and POs with same name
Eddie Hung [Tue, 7 Jan 2020 19:22:48 +0000 (11:22 -0800)]
read_aiger: cope with latches and POs with same name

4 years agoread_aiger: default -clk_name to be empty
Eddie Hung [Tue, 7 Jan 2020 19:21:45 +0000 (11:21 -0800)]
read_aiger: default -clk_name to be empty

4 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Tue, 7 Jan 2020 00:51:32 +0000 (16:51 -0800)]
Merge branch 'master' of github.com:YosysHQ/yosys

4 years agoMerge pull request #1181 from YosysHQ/xaig_dff
Eddie Hung [Tue, 7 Jan 2020 00:50:07 +0000 (16:50 -0800)]
Merge pull request #1181 from YosysHQ/xaig_dff

"abc9 -dff" option for sequential synthesis

4 years agoFix DSP48E1 sim
Eddie Hung [Tue, 7 Jan 2020 00:45:29 +0000 (16:45 -0800)]
Fix DSP48E1 sim

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 6 Jan 2020 23:02:44 +0000 (15:02 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoMerge pull request #1617 from YosysHQ/eddie/abc9_dsp_refactor
Eddie Hung [Mon, 6 Jan 2020 23:00:16 +0000 (15:00 -0800)]
Merge pull request #1617 from YosysHQ/eddie/abc9_dsp_refactor

Refactor abc9's DSP48E1 handling

4 years agoabc9: uncomment nothing to map message
Eddie Hung [Mon, 6 Jan 2020 20:21:50 +0000 (12:21 -0800)]
abc9: uncomment nothing to map message

4 years agoWrap arrival functions inside `YOSYS too
Eddie Hung [Mon, 6 Jan 2020 19:55:56 +0000 (11:55 -0800)]
Wrap arrival functions inside `YOSYS too

4 years agoFixes
Eddie Hung [Mon, 6 Jan 2020 19:53:48 +0000 (11:53 -0800)]
Fixes

4 years agoFixes
Eddie Hung [Mon, 6 Jan 2020 19:50:55 +0000 (11:50 -0800)]
Fixes

4 years agoMerge pull request #1616 from nakengelhardt/abc_scratchpad_arg_warn
Eddie Hung [Mon, 6 Jan 2020 19:39:59 +0000 (11:39 -0800)]
Merge pull request #1616 from nakengelhardt/abc_scratchpad_arg_warn

error if multiple -g options are given for abc

4 years agoFix return value of arrival time functions, fix word
Eddie Hung [Mon, 6 Jan 2020 19:39:08 +0000 (11:39 -0800)]
Fix return value of arrival time functions, fix word

4 years agoBump ABCREV for upstream fix
Eddie Hung [Mon, 6 Jan 2020 19:14:05 +0000 (11:14 -0800)]
Bump ABCREV for upstream fix

4 years agoabc9: remove -markgroups option, since operates on fully selected mod
Eddie Hung [Mon, 6 Jan 2020 18:43:21 +0000 (10:43 -0800)]
abc9: remove -markgroups option, since operates on fully selected mod

4 years agoabc9: cleanup
Eddie Hung [Mon, 6 Jan 2020 18:26:49 +0000 (10:26 -0800)]
abc9: cleanup

4 years agowrite_xaiger: make more robust, update doc
Eddie Hung [Mon, 6 Jan 2020 18:23:04 +0000 (10:23 -0800)]
write_xaiger: make more robust, update doc

4 years agowrite_aiger: make more robust
Eddie Hung [Mon, 6 Jan 2020 18:18:59 +0000 (10:18 -0800)]
write_aiger: make more robust

4 years agoerror if multiple -g options are given for abc
N. Engelhardt [Mon, 6 Jan 2020 18:10:13 +0000 (19:10 +0100)]
error if multiple -g options are given for abc

4 years agoAdd abc9.dff scratchpad option
Eddie Hung [Mon, 6 Jan 2020 17:46:02 +0000 (09:46 -0800)]
Add abc9.dff scratchpad option

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 6 Jan 2020 17:44:17 +0000 (09:44 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoMerge pull request #1582 from nakengelhardt/abc_scratchpad_script
Eddie Hung [Mon, 6 Jan 2020 17:42:26 +0000 (09:42 -0800)]
Merge pull request #1582 from nakengelhardt/abc_scratchpad_script

Check scratchpad for abc options

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 6 Jan 2020 17:31:28 +0000 (09:31 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoReword (* abc9_flop *) description
Eddie Hung [Mon, 6 Jan 2020 17:03:18 +0000 (09:03 -0800)]
Reword (* abc9_flop *) description

4 years agocheck scratchpad for arguments in abc pass too
N. Engelhardt [Mon, 6 Jan 2020 09:46:44 +0000 (10:46 +0100)]
check scratchpad for arguments in abc pass too

4 years agoinherit default values when checking scratchpad for arguments
N. Engelhardt [Mon, 6 Jan 2020 09:46:10 +0000 (10:46 +0100)]
inherit default values when checking scratchpad for arguments

4 years agoMerge pull request #1611 from YosysHQ/mmicko/wrapcarry_fix
Miodrag Milanović [Sun, 5 Jan 2020 19:21:04 +0000 (20:21 +0100)]
Merge pull request #1611 from YosysHQ/mmicko/wrapcarry_fix

Valid to have attribute starting with SB_CARRY.

4 years agoValid to have attribute starting with SB_CARRY.
Miodrag Milanovic [Sat, 4 Jan 2020 18:00:44 +0000 (19:00 +0100)]
Valid to have attribute starting with SB_CARRY.

4 years agoRestore write_xaiger's holes_mode since port_id order causes QoR
Eddie Hung [Fri, 3 Jan 2020 20:30:22 +0000 (12:30 -0800)]
Restore write_xaiger's holes_mode since port_id order causes QoR

regressions inside abc9

4 years agoshare codepath for scratchpad argument handling with command arguments
N. Engelhardt [Fri, 3 Jan 2020 13:11:41 +0000 (14:11 +0100)]
share codepath for scratchpad argument handling with command arguments

4 years agoMerge branch 'master' of https://github.com/YosysHQ/yosys into abc_scratchpad_script
N. Engelhardt [Fri, 3 Jan 2020 11:28:48 +0000 (12:28 +0100)]
Merge branch 'master' of https://github.com/YosysHQ/yosys into abc_scratchpad_script

4 years agoCleanup
Eddie Hung [Fri, 3 Jan 2020 01:25:14 +0000 (17:25 -0800)]
Cleanup

4 years agoFix spacing
Eddie Hung [Fri, 3 Jan 2020 01:21:54 +0000 (17:21 -0800)]
Fix spacing

4 years agowrite_xaiger: get rid of external_bits dict
Eddie Hung [Thu, 2 Jan 2020 23:32:58 +0000 (15:32 -0800)]
write_xaiger: get rid of external_bits dict

4 years agoCombine tests to check multiple clock domains
Eddie Hung [Thu, 2 Jan 2020 22:38:59 +0000 (14:38 -0800)]
Combine tests to check multiple clock domains

4 years agoDrive $[ABCD] explicitly
Eddie Hung [Thu, 2 Jan 2020 21:28:37 +0000 (13:28 -0800)]
Drive $[ABCD] explicitly

4 years agoMerge pull request #1604 from whitequark/unify-ram-naming
whitequark [Thu, 2 Jan 2020 21:06:17 +0000 (21:06 +0000)]
Merge pull request #1604 from whitequark/unify-ram-naming

Harmonize BRAM/LUTRAM descriptions across all of Yosys

4 years agosynth_xilinx -dff to work with abc too
Eddie Hung [Thu, 2 Jan 2020 20:53:26 +0000 (12:53 -0800)]
synth_xilinx -dff to work with abc too

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_dsp_refactor
Eddie Hung [Thu, 2 Jan 2020 20:48:07 +0000 (12:48 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_dsp_refactor

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Thu, 2 Jan 2020 20:44:06 +0000 (12:44 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd 'abc9 -dff' to CHANGELOG
Eddie Hung [Thu, 2 Jan 2020 20:42:28 +0000 (12:42 -0800)]
Add 'abc9 -dff' to CHANGELOG

4 years agoUpdate doc
Eddie Hung [Thu, 2 Jan 2020 20:41:57 +0000 (12:41 -0800)]
Update doc

4 years agoUpdate comments
Eddie Hung [Thu, 2 Jan 2020 20:39:52 +0000 (12:39 -0800)]
Update comments

4 years agoabc9 -keepff -> -dff; refactor dff operations
Eddie Hung [Thu, 2 Jan 2020 20:36:54 +0000 (12:36 -0800)]
abc9 -keepff -> -dff; refactor dff operations

4 years agoMerge pull request #1609 from YosysHQ/clifford/fix1596
Clifford Wolf [Thu, 2 Jan 2020 18:57:27 +0000 (19:57 +0100)]
Merge pull request #1609 from YosysHQ/clifford/fix1596

Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputs

4 years agoAlways create $shl, $shr, $sshl, $sshr cells with unsigned B inputs
Clifford Wolf [Thu, 2 Jan 2020 17:58:45 +0000 (18:58 +0100)]
Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputs

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1601 from YosysHQ/eddie/synth_retime
Eddie Hung [Thu, 2 Jan 2020 16:46:24 +0000 (08:46 -0800)]
Merge pull request #1601 from YosysHQ/eddie/synth_retime

"abc -dff" to no longer retime by default

4 years agoMerge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS
Eddie Hung [Thu, 2 Jan 2020 16:46:02 +0000 (08:46 -0800)]
Merge pull request #1608 from YosysHQ/eddie/ifndef_YOSYS

ifdef __ICARUS__ -> ifndef YOSYS

4 years agoifndef __ICARUS__ -> ifdef YOSYS
Eddie Hung [Thu, 2 Jan 2020 01:33:47 +0000 (17:33 -0800)]
ifndef __ICARUS__ -> ifdef YOSYS

4 years agoifdef __ICARUS__ -> ifndef YOSYS
Eddie Hung [Thu, 2 Jan 2020 01:33:10 +0000 (17:33 -0800)]
ifdef __ICARUS__ -> ifndef YOSYS

4 years agoRework abc9's DSP48E1 model
Eddie Hung [Thu, 2 Jan 2020 01:30:26 +0000 (17:30 -0800)]
Rework abc9's DSP48E1 model

4 years agoMerge pull request #1606 from YosysHQ/eddie/improve_tests
Eddie Hung [Wed, 1 Jan 2020 21:31:46 +0000 (13:31 -0800)]
Merge pull request #1606 from YosysHQ/eddie/improve_tests

Fix a few issues in tests/arch/*

4 years agoRevert insertion of 'reg', leave note behind
Eddie Hung [Wed, 1 Jan 2020 17:05:46 +0000 (09:05 -0800)]
Revert insertion of 'reg', leave note behind

4 years agoMerge pull request #1605 from YosysHQ/iopad_fix
Miodrag Milanović [Wed, 1 Jan 2020 16:46:45 +0000 (17:46 +0100)]
Merge pull request #1605 from YosysHQ/iopad_fix

iopad mapping should take care of existing io buffers

4 years agoFix anlogic async flop mapping
Eddie Hung [Wed, 1 Jan 2020 16:43:16 +0000 (08:43 -0800)]
Fix anlogic async flop mapping

4 years agoClamp -46ps for FDPE* too
Eddie Hung [Wed, 1 Jan 2020 16:39:00 +0000 (08:39 -0800)]
Clamp -46ps for FDPE* too

4 years agoGet rid of (* abc9_keep *) in write_xaiger too
Eddie Hung [Wed, 1 Jan 2020 16:38:23 +0000 (08:38 -0800)]
Get rid of (* abc9_keep *) in write_xaiger too

4 years agoCleanup abc9, update doc for -keepff option
Eddie Hung [Wed, 1 Jan 2020 16:34:57 +0000 (08:34 -0800)]
Cleanup abc9, update doc for -keepff option

4 years agoRestore abc9 -keepff
Eddie Hung [Wed, 1 Jan 2020 16:34:43 +0000 (08:34 -0800)]
Restore abc9 -keepff

4 years agoattributes.count() -> get_bool_attribute()
Eddie Hung [Wed, 1 Jan 2020 06:54:56 +0000 (22:54 -0800)]
attributes.count() -> get_bool_attribute()

4 years agoAdded a test case
Miodrag Milanovic [Wed, 1 Jan 2020 15:24:30 +0000 (16:24 +0100)]
Added a test case

4 years agotake skip wire bits into account
Miodrag Milanovic [Wed, 1 Jan 2020 15:13:14 +0000 (16:13 +0100)]
take skip wire bits into account

4 years agoHarmonize BRAM/LUTRAM descriptions across all of Yosys.
whitequark [Wed, 1 Jan 2020 12:30:00 +0000 (12:30 +0000)]
Harmonize BRAM/LUTRAM descriptions across all of Yosys.

This commit:
  * renames all remaining instances of "DRAM" (which is ambiguous)
    to "LUTRAM" (which is not), finishing the work started in
    the commit 698ab9be;
  * renames memory rule files to brams.txt/lutrams.txt;
  * adds/renames script labels map_bram/map_lutram;
  * extracts where necessary script labels map_ffram and map_gates;
  * adds where necessary options -nobram/-nolutram.

The end result is that BRAM/LUTRAM/FFRAM aspects of every target
are now consistent with each other.

Per architecture:
  * anlogic: rename drams.txt→lutrams.txt, add -nolutram, add
    :map_lutram, :map_ffram, :map_gates
  * ecp5: rename bram.txt→brams.txt, lutram.txt→lutrams.txt
  * efinix: rename bram.txt→brams.txt, add -nobram, add :map_ffram,
    :map_gates
  * gowin: rename bram.txt→brams.txt, dram.txt→lutrams.txt,
    rename -nodram→-nolutram (-nodram still recognized), rename
    :bram→:map_bram, :dram→:map_lutram, add :map_ffram, :map_gates

4 years agoRe-arrange FD order
Eddie Hung [Wed, 1 Jan 2020 02:47:38 +0000 (18:47 -0800)]
Re-arrange FD order

4 years agoMissing character
Eddie Hung [Wed, 1 Jan 2020 02:42:11 +0000 (18:42 -0800)]
Missing character

4 years agoDo not do call equiv_opt when no sim model exists
Eddie Hung [Wed, 1 Jan 2020 02:40:30 +0000 (18:40 -0800)]
Do not do call equiv_opt when no sim model exists

4 years agoFix warnings
Eddie Hung [Wed, 1 Jan 2020 02:40:11 +0000 (18:40 -0800)]
Fix warnings

4 years agoCall equiv_opt with -multiclock and -assert
Eddie Hung [Wed, 1 Jan 2020 02:39:32 +0000 (18:39 -0800)]
Call equiv_opt with -multiclock and -assert

4 years agoCleanup xilinx boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:44 +0000 (18:29 -0800)]
Cleanup xilinx boxes

4 years agoCleanup ice40 boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:37 +0000 (18:29 -0800)]
Cleanup ice40 boxes

4 years agoCleanup ecp5 boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:29 +0000 (18:29 -0800)]
Cleanup ecp5 boxes

4 years agoparse_xaiger to not take box_lookup
Eddie Hung [Wed, 1 Jan 2020 01:06:03 +0000 (17:06 -0800)]
parse_xaiger to not take box_lookup

4 years agoparse_xaiger to reorder ports too
Eddie Hung [Wed, 1 Jan 2020 00:50:22 +0000 (16:50 -0800)]
parse_xaiger to reorder ports too

4 years agoAdd some abc9 dff tests
Eddie Hung [Wed, 1 Jan 2020 00:16:05 +0000 (16:16 -0800)]
Add some abc9 dff tests

4 years agoDo not re-order carry chain ports, just precompute iteration order
Eddie Hung [Wed, 1 Jan 2020 00:12:40 +0000 (16:12 -0800)]
Do not re-order carry chain ports, just precompute iteration order

4 years agoUpdate abc9_xc7.box comments
Eddie Hung [Tue, 31 Dec 2019 23:25:46 +0000 (15:25 -0800)]
Update abc9_xc7.box comments

4 years agoFDCE ports to be alphabetical
Eddie Hung [Tue, 31 Dec 2019 23:24:02 +0000 (15:24 -0800)]
FDCE ports to be alphabetical

4 years agoFix attributes on $__ABC9_ASYNC[01] whitebox
Eddie Hung [Tue, 31 Dec 2019 19:14:11 +0000 (11:14 -0800)]
Fix attributes on $__ABC9_ASYNC[01] whitebox

4 years agoFix incorrect $__ABC9_ASYNC[01] box
Eddie Hung [Tue, 31 Dec 2019 19:13:50 +0000 (11:13 -0800)]
Fix incorrect $__ABC9_ASYNC[01] box

4 years agowrite_xaiger: be more precise with ff_bits, remove ff_aig_map
Eddie Hung [Tue, 31 Dec 2019 18:21:11 +0000 (10:21 -0800)]
write_xaiger: be more precise with ff_bits, remove ff_aig_map

4 years agoRetry getting rid of write_xaiger's holes_mode
Eddie Hung [Tue, 31 Dec 2019 17:59:17 +0000 (09:59 -0800)]
Retry getting rid of write_xaiger's holes_mode

4 years agoRevert "Get rid of holes_mode"
Eddie Hung [Tue, 31 Dec 2019 07:29:14 +0000 (23:29 -0800)]
Revert "Get rid of holes_mode"

This reverts commit 7997e2a90fd37886241b7eb657408177ef7f6fa7.

4 years agoGet rid of holes_mode
Eddie Hung [Tue, 31 Dec 2019 02:24:29 +0000 (18:24 -0800)]
Get rid of holes_mode

4 years agoAdd -D DFF_MODE to abc9_map test
Eddie Hung [Tue, 31 Dec 2019 04:13:25 +0000 (20:13 -0800)]
Add -D DFF_MODE to abc9_map test

4 years agoRemove delay targets doc
Eddie Hung [Tue, 31 Dec 2019 00:11:42 +0000 (16:11 -0800)]
Remove delay targets doc

4 years agowrite_xaiger to use scratchpad for stats; cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 23:35:33 +0000 (15:35 -0800)]
write_xaiger to use scratchpad for stats; cleanup abc9

4 years agoGrammar
Eddie Hung [Mon, 30 Dec 2019 20:26:39 +0000 (12:26 -0800)]
Grammar

4 years agoRemove submod changes
Eddie Hung [Mon, 30 Dec 2019 22:56:14 +0000 (14:56 -0800)]
Remove submod changes