yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 26 Apr 2019 22:35:55 +0000 (15:35 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoWhere did this check come from!?!
Eddie Hung [Fri, 26 Apr 2019 22:35:34 +0000 (15:35 -0700)]
Where did this check come from!?!

5 years agoRemove split_shiftx call
Eddie Hung [Fri, 26 Apr 2019 22:32:58 +0000 (15:32 -0700)]
Remove split_shiftx call

5 years agoRevert "Merge branch 'eddie/split_shiftx' into xc7mux"
Eddie Hung [Fri, 26 Apr 2019 22:32:02 +0000 (15:32 -0700)]
Revert "Merge branch 'eddie/split_shiftx' into xc7mux"

This reverts commit 3042d5833041021bb45252b0cc862e9eff3d27d3, reversing
changes made to feff9764540cbf1152459cb377fc68d8e10c7153.

5 years agoMissing newline
Eddie Hung [Fri, 26 Apr 2019 21:51:37 +0000 (14:51 -0700)]
Missing newline

5 years agoAdd -undef option to equiv_opt, passed to equiv_induct
Eddie Hung [Fri, 26 Apr 2019 18:14:33 +0000 (11:14 -0700)]
Add -undef option to equiv_opt, passed to equiv_induct

5 years agoActually use pm.st.shiftxB
Eddie Hung [Fri, 26 Apr 2019 02:59:33 +0000 (19:59 -0700)]
Actually use pm.st.shiftxB

5 years agoCleanup superseded
Eddie Hung [Fri, 26 Apr 2019 02:43:41 +0000 (19:43 -0700)]
Cleanup superseded

5 years agobitblast_shiftx -> split_shiftx
Eddie Hung [Fri, 26 Apr 2019 02:38:35 +0000 (19:38 -0700)]
bitblast_shiftx -> split_shiftx

5 years agoFix for when B_WIDTH has trailing zeroes
Eddie Hung [Fri, 26 Apr 2019 02:38:19 +0000 (19:38 -0700)]
Fix for when B_WIDTH has trailing zeroes

5 years agoMerge remote-tracking branch 'origin/eddie/split_shiftx' into xc7mux
Eddie Hung [Fri, 26 Apr 2019 01:52:20 +0000 (18:52 -0700)]
Merge remote-tracking branch 'origin/eddie/split_shiftx' into xc7mux

5 years agoIn order to indicate a failed pattern, blacklist?
Eddie Hung [Fri, 26 Apr 2019 01:39:13 +0000 (18:39 -0700)]
In order to indicate a failed pattern, blacklist?

5 years agoAdd test
Eddie Hung [Fri, 26 Apr 2019 01:08:05 +0000 (18:08 -0700)]
Add test

5 years agoElaborate on help message
Eddie Hung [Fri, 26 Apr 2019 00:35:39 +0000 (17:35 -0700)]
Elaborate on help message

5 years agoMerge branch 'eddie/split_shiftx' into xc7mux
Eddie Hung [Fri, 26 Apr 2019 00:31:27 +0000 (17:31 -0700)]
Merge branch 'eddie/split_shiftx' into xc7mux

5 years agoAdd split_shiftx command
Eddie Hung [Fri, 26 Apr 2019 00:23:59 +0000 (17:23 -0700)]
Add split_shiftx command

5 years agoMake pmgen support files more generic
Eddie Hung [Fri, 26 Apr 2019 00:23:46 +0000 (17:23 -0700)]
Make pmgen support files more generic

5 years agosynth_xilinx to call bitblast_shiftx
Eddie Hung [Fri, 26 Apr 2019 00:11:18 +0000 (17:11 -0700)]
synth_xilinx to call bitblast_shiftx

5 years agoMisspelling
Eddie Hung [Thu, 25 Apr 2019 23:46:13 +0000 (16:46 -0700)]
Misspelling

5 years agoRemove topo sort no-loop assertion, with test
Eddie Hung [Thu, 25 Apr 2019 04:06:53 +0000 (21:06 -0700)]
Remove topo sort no-loop assertion, with test

5 years agoAdd -nocarry option to synth_xilinx
Eddie Hung [Wed, 24 Apr 2019 23:46:41 +0000 (16:46 -0700)]
Add -nocarry option to synth_xilinx

5 years agoFix abc9 with (* keep *) wires
Eddie Hung [Tue, 23 Apr 2019 23:11:14 +0000 (16:11 -0700)]
Fix abc9 with (* keep *) wires

5 years agoRefactor into AigerReader::post_process()
Eddie Hung [Tue, 23 Apr 2019 22:06:19 +0000 (15:06 -0700)]
Refactor into AigerReader::post_process()

5 years agoMerge pull request #957 from YosysHQ/oai4fix
Clifford Wolf [Tue, 23 Apr 2019 17:59:39 +0000 (19:59 +0200)]
Merge pull request #957 from YosysHQ/oai4fix

Fixes for OAI4 cell implementation

5 years agoFixes for OAI4 cell implementation
David Shah [Tue, 23 Apr 2019 16:54:00 +0000 (17:54 +0100)]
Fixes for OAI4 cell implementation

Fixes #955 and the underlying issue in #954

Signed-off-by: David Shah <dave@ds0.me>
5 years agoFormat some names using inline code
Eddie Hung [Tue, 23 Apr 2019 16:01:10 +0000 (09:01 -0700)]
Format some names using inline code

5 years agoFix spelling
Eddie Hung [Tue, 23 Apr 2019 15:58:34 +0000 (08:58 -0700)]
Fix spelling

5 years agoRemove some left-over log_dump()
Clifford Wolf [Tue, 23 Apr 2019 15:55:41 +0000 (17:55 +0200)]
Remove some left-over log_dump()

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoTweak
Eddie Hung [Tue, 23 Apr 2019 00:59:56 +0000 (17:59 -0700)]
Tweak

5 years agoFix for A_WIDTH == 2 but B_WIDTH==3
Eddie Hung [Tue, 23 Apr 2019 00:58:28 +0000 (17:58 -0700)]
Fix for A_WIDTH == 2 but B_WIDTH==3

5 years agoTrim A_WIDTH by Y_WIDTH-1
Eddie Hung [Tue, 23 Apr 2019 00:14:11 +0000 (17:14 -0700)]
Trim A_WIDTH by Y_WIDTH-1

5 years agoAdd comment
Eddie Hung [Mon, 22 Apr 2019 23:58:44 +0000 (16:58 -0700)]
Add comment

5 years agoFix for mux_case_* mappings
Eddie Hung [Mon, 22 Apr 2019 23:56:18 +0000 (16:56 -0700)]
Fix for mux_case_* mappings

5 years agoFix for non-pow2 width muxes
Eddie Hung [Mon, 22 Apr 2019 21:26:13 +0000 (14:26 -0700)]
Fix for non-pow2 width muxes

5 years agoMerge pull request #914 from YosysHQ/xc7srl
Eddie Hung [Mon, 22 Apr 2019 20:31:30 +0000 (13:31 -0700)]
Merge pull request #914 from YosysHQ/xc7srl

synth_xilinx to now infer SRL16E/SRLC32E

5 years agoAdd synth_xilinx -nomux option
Eddie Hung [Mon, 22 Apr 2019 19:36:15 +0000 (12:36 -0700)]
Add synth_xilinx -nomux option

5 years agoCleanup, call pmux2shiftx even without -nosrl
Eddie Hung [Mon, 22 Apr 2019 19:14:37 +0000 (12:14 -0700)]
Cleanup, call pmux2shiftx even without -nosrl

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Mon, 22 Apr 2019 18:58:59 +0000 (11:58 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoTemporarily remove 'r' extension
Eddie Hung [Mon, 22 Apr 2019 18:54:19 +0000 (11:54 -0700)]
Temporarily remove 'r' extension

5 years agoMerge remote-tracking branch 'origin/xc7srl' into xc7mux
Eddie Hung [Mon, 22 Apr 2019 18:45:49 +0000 (11:45 -0700)]
Merge remote-tracking branch 'origin/xc7srl' into xc7mux

5 years agoUpdate help message
Eddie Hung [Mon, 22 Apr 2019 18:38:23 +0000 (11:38 -0700)]
Update help message

5 years agoAllow POs to be PIs in XAIG
Eddie Hung [Mon, 22 Apr 2019 18:22:29 +0000 (11:22 -0700)]
Allow POs to be PIs in XAIG

5 years agoRemove kernel/cost.cc since master has refactored it
Eddie Hung [Mon, 22 Apr 2019 18:21:17 +0000 (11:21 -0700)]
Remove kernel/cost.cc since master has refactored it

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Mon, 22 Apr 2019 18:19:52 +0000 (11:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #952 from YosysHQ/clifford/fix370
Clifford Wolf [Mon, 22 Apr 2019 18:10:46 +0000 (20:10 +0200)]
Merge pull request #952 from YosysHQ/clifford/fix370

Determine correct signedness and expression width in for-loop unrolling

5 years agoMerge pull request #951 from YosysHQ/clifford/logdebug
Clifford Wolf [Mon, 22 Apr 2019 18:09:51 +0000 (20:09 +0200)]
Merge pull request #951 from YosysHQ/clifford/logdebug

Add log_debug() framework

5 years agoMerge pull request #949 from YosysHQ/clifford/pmux2shimprove
Clifford Wolf [Mon, 22 Apr 2019 18:01:43 +0000 (20:01 +0200)]
Merge pull request #949 from YosysHQ/clifford/pmux2shimprove

Add full_pmux feature to pmux2shiftx

5 years agoMerge pull request #953 from YosysHQ/clifford/fix948
Clifford Wolf [Mon, 22 Apr 2019 18:01:09 +0000 (20:01 +0200)]
Merge pull request #953 from YosysHQ/clifford/fix948

Add support for zero-width signals to Verilog back-end

5 years agoMove 'shregmap -tech xilinx' into map_cells
Eddie Hung [Mon, 22 Apr 2019 17:45:39 +0000 (10:45 -0700)]
Move 'shregmap -tech xilinx' into map_cells

5 years agoAdd support for zero-width signals to Verilog back-end, fixes #948
Clifford Wolf [Mon, 22 Apr 2019 17:44:10 +0000 (19:44 +0200)]
Add support for zero-width signals to Verilog back-end, fixes #948

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/master' into xc7srl
Eddie Hung [Mon, 22 Apr 2019 17:36:27 +0000 (10:36 -0700)]
Merge remote-tracking branch 'origin/master' into xc7srl

5 years agoDetermine correct signedness and expression width in for loop unrolling, fixes #370
Clifford Wolf [Mon, 22 Apr 2019 16:19:02 +0000 (18:19 +0200)]
Determine correct signedness and expression width in for loop unrolling, fixes #370

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd log_debug() framework
Clifford Wolf [Mon, 22 Apr 2019 15:25:52 +0000 (17:25 +0200)]
Add log_debug() framework

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #950 from whitequark/attrmap_remove_wildcard
Clifford Wolf [Mon, 22 Apr 2019 14:54:38 +0000 (16:54 +0200)]
Merge pull request #950 from whitequark/attrmap_remove_wildcard

attrmap: extend -remove to allow removing attributes with any value

5 years agoattrmap: extend -remove to allow removing attributes with any value.
whitequark [Mon, 22 Apr 2019 14:18:15 +0000 (14:18 +0000)]
attrmap: extend -remove to allow removing attributes with any value.

Currently, `-remove foo` would only remove an attribute `foo = ""`,
which doesn't work on an attribute like `src` that may have any
value. Extend `-remove` to handle both cases. `-remove foo=""` has
the old behavior, and `-remove foo` will remove the attribute with
whatever value it may have, which is still compatible with the old
behavior.

5 years agoUpdaye pmux2shiftx test
Clifford Wolf [Mon, 22 Apr 2019 14:17:43 +0000 (16:17 +0200)]
Updaye pmux2shiftx test

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd full_pmux feature to pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 13:26:20 +0000 (15:26 +0200)]
Add full_pmux feature to pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_LIBYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:59:30 +0000 (14:59 +0200)]
Set ENABLE_LIBYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_PYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:49:17 +0000 (14:49 +0200)]
Set ENABLE_PYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #905 from christian-krieg/feature/python_bindings
Clifford Wolf [Mon, 22 Apr 2019 12:47:52 +0000 (14:47 +0200)]
Merge pull request #905 from christian-krieg/feature/python_bindings

Feature/python bindings

5 years agoMerge pull request #941 from Wren6991/sim_lib_io_clke
Clifford Wolf [Mon, 22 Apr 2019 07:11:13 +0000 (09:11 +0200)]
Merge pull request #941 from Wren6991/sim_lib_io_clke

ice40 cells_sim.v: update clock enable behaviour based on hardware experiments

5 years agoMerge branch 'dh73-master'
Clifford Wolf [Mon, 22 Apr 2019 07:10:07 +0000 (09:10 +0200)]
Merge branch 'dh73-master'

5 years agoMerge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
Clifford Wolf [Mon, 22 Apr 2019 07:09:27 +0000 (09:09 +0200)]
Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master

5 years agoRe-added clean after techmap in synth_xilinx
Clifford Wolf [Mon, 22 Apr 2019 07:03:11 +0000 (09:03 +0200)]
Re-added clean after techmap in synth_xilinx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #916 from YosysHQ/map_cells_before_map_luts
Clifford Wolf [Mon, 22 Apr 2019 07:01:00 +0000 (09:01 +0200)]
Merge pull request #916 from YosysHQ/map_cells_before_map_luts

synth_xilinx to map_cells before map_luts

5 years agoMerge pull request #911 from mmicko/gowin-nobram
Clifford Wolf [Mon, 22 Apr 2019 06:58:09 +0000 (08:58 +0200)]
Merge pull request #911 from mmicko/gowin-nobram

Make nobram false by default for gowin

5 years agoMerge pull request #909 from zachjs/master
Clifford Wolf [Mon, 22 Apr 2019 06:51:34 +0000 (08:51 +0200)]
Merge pull request #909 from zachjs/master

support repeat loops with constant repeat counts outside of constant functions

5 years agoMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 06:39:37 +0000 (08:39 +0200)]
Merge pull request #944 from YosysHQ/clifford/pmux2shiftx

Add pmux2shiftx command

5 years agoMerge pull request #945 from YosysHQ/clifford/libwb
Clifford Wolf [Mon, 22 Apr 2019 06:38:52 +0000 (08:38 +0200)]
Merge pull request #945 from YosysHQ/clifford/libwb

New behavior for read_verilog handling of whiteboxes

5 years agoMerge remote-tracking branch 'origin/clifford/libwb' into xaig
Eddie Hung [Mon, 22 Apr 2019 01:10:46 +0000 (18:10 -0700)]
Merge remote-tracking branch 'origin/clifford/libwb' into xaig

5 years agoDisable blackbox detection in techmap files
Clifford Wolf [Mon, 22 Apr 2019 00:07:36 +0000 (02:07 +0200)]
Disable blackbox detection in techmap files

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoTidy up, fix for -nosrl
Eddie Hung [Sun, 21 Apr 2019 22:33:03 +0000 (15:33 -0700)]
Tidy up, fix for -nosrl

5 years agoConvert to use #945
Eddie Hung [Sun, 21 Apr 2019 22:19:02 +0000 (15:19 -0700)]
Convert to use #945

5 years agoMerge remote-tracking branch 'origin/clifford/libwb' into xaig
Eddie Hung [Sun, 21 Apr 2019 21:49:18 +0000 (14:49 -0700)]
Merge remote-tracking branch 'origin/clifford/libwb' into xaig

5 years agoMerge branch 'map_cells_before_map_luts' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 21:28:55 +0000 (14:28 -0700)]
Merge branch 'map_cells_before_map_luts' into xc7srl

5 years agoMerge branch 'master' into map_cells_before_map_luts
Eddie Hung [Sun, 21 Apr 2019 21:24:50 +0000 (14:24 -0700)]
Merge branch 'master' into map_cells_before_map_luts

5 years agoAdd comments
Eddie Hung [Sun, 21 Apr 2019 21:16:59 +0000 (14:16 -0700)]
Add comments

5 years agoUse new pmux2shiftx from #944, remove my old attempt
Eddie Hung [Sun, 21 Apr 2019 21:16:34 +0000 (14:16 -0700)]
Use new pmux2shiftx from #944, remove my old attempt

5 years agoice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments
Luke Wren [Wed, 17 Apr 2019 21:56:41 +0000 (22:56 +0100)]
ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments

5 years agoFix tests
Clifford Wolf [Sun, 21 Apr 2019 09:40:20 +0000 (11:40 +0200)]
Fix tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "noblackbox" attribute
Clifford Wolf [Sun, 21 Apr 2019 09:40:09 +0000 (11:40 +0200)]
Add "noblackbox" attribute

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/clifford/pmux2shiftx' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 00:24:33 +0000 (17:24 -0700)]
Merge remote-tracking branch 'origin/clifford/pmux2shiftx' into xc7srl

5 years agoMerge remote-tracking branch 'origin/master' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 00:24:06 +0000 (17:24 -0700)]
Merge remote-tracking branch 'origin/master' into xc7srl

5 years agoNew behavior for front-end handling of whiteboxes
Clifford Wolf [Sat, 20 Apr 2019 20:24:50 +0000 (22:24 +0200)]
New behavior for front-end handling of whiteboxes

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Sat, 20 Apr 2019 19:23:49 +0000 (12:23 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #943 from YosysHQ/clifford/whitebox
Clifford Wolf [Sat, 20 Apr 2019 18:51:54 +0000 (20:51 +0200)]
Merge pull request #943 from YosysHQ/clifford/whitebox

[WIP] Add "whitebox" attribute, add "read_verilog -wb"

5 years agoMerge remote-tracking branch 'origin/pmux2shiftx' into xc7srl
Eddie Hung [Sat, 20 Apr 2019 17:44:01 +0000 (10:44 -0700)]
Merge remote-tracking branch 'origin/pmux2shiftx' into xc7srl

5 years agoMerge remote-tracking branch 'origin' into xc7srl
Eddie Hung [Sat, 20 Apr 2019 17:41:43 +0000 (10:41 -0700)]
Merge remote-tracking branch 'origin' into xc7srl

5 years agoAuto-initialize OnehotDatabase on-demand in pmux2shiftx.cc
Clifford Wolf [Sat, 20 Apr 2019 16:13:37 +0000 (18:13 +0200)]
Auto-initialize OnehotDatabase on-demand in pmux2shiftx.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "onehot" pass, improve "pmux2shiftx" onehot handling
Clifford Wolf [Sat, 20 Apr 2019 15:52:16 +0000 (17:52 +0200)]
Add "onehot" pass, improve "pmux2shiftx" onehot handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "techmap -wb", use in formal flows
Clifford Wolf [Sat, 20 Apr 2019 09:23:24 +0000 (11:23 +0200)]
Add "techmap -wb", use in formal flows

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoCheck blackbox attribute in techmap/simplemap
Clifford Wolf [Sat, 20 Apr 2019 09:10:05 +0000 (11:10 +0200)]
Check blackbox attribute in techmap/simplemap

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "wbflip" command
Clifford Wolf [Sat, 20 Apr 2019 09:04:46 +0000 (11:04 +0200)]
Add "wbflip" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #942 from YosysHQ/clifford/fix931
Clifford Wolf [Sat, 20 Apr 2019 08:05:35 +0000 (10:05 +0200)]
Merge pull request #942 from YosysHQ/clifford/fix931

Improve proc full_case detection and handling

5 years agoABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set
Eddie Hung [Sat, 20 Apr 2019 04:09:55 +0000 (21:09 -0700)]
ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set

5 years agoImprove "pmux2shiftx"
Clifford Wolf [Sat, 20 Apr 2019 00:03:44 +0000 (02:03 +0200)]
Improve "pmux2shiftx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix some typos
Clifford Wolf [Fri, 19 Apr 2019 23:18:07 +0000 (01:18 +0200)]
Fix some typos

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprovements in "pmux2shiftx"
Clifford Wolf [Fri, 19 Apr 2019 23:15:48 +0000 (01:15 +0200)]
Improvements in "pmux2shiftx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSelect to find union of both sets on stack
Eddie Hung [Fri, 19 Apr 2019 22:47:53 +0000 (15:47 -0700)]
Select to find union of both sets on stack

5 years agoFixes for simple_abc9 tests
Eddie Hung [Fri, 19 Apr 2019 22:47:36 +0000 (15:47 -0700)]
Fixes for simple_abc9 tests