yosys.git
10 years agoAdded counters sat test case
Clifford Wolf [Thu, 6 Feb 2014 00:00:11 +0000 (01:00 +0100)]
Added counters sat test case

10 years agoAdded sat -verify and -falsify support for non-prove cases
Clifford Wolf [Wed, 5 Feb 2014 23:59:41 +0000 (00:59 +0100)]
Added sat -verify and -falsify support for non-prove cases

10 years agoAdded expose command
Clifford Wolf [Wed, 5 Feb 2014 22:59:55 +0000 (23:59 +0100)]
Added expose command

10 years agopresentation progress
Clifford Wolf [Wed, 5 Feb 2014 19:06:34 +0000 (20:06 +0100)]
presentation progress

10 years agoSimplified select "Assertation failed" message generation
Clifford Wolf [Wed, 5 Feb 2014 17:52:55 +0000 (18:52 +0100)]
Simplified select "Assertation failed" message generation

10 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Wed, 5 Feb 2014 17:46:47 +0000 (18:46 +0100)]
Merge branch 'master' of github.com:cliffordwolf/yosys

10 years agoMerge pull request #22 from hansiglaser/master
Clifford Wolf [Wed, 5 Feb 2014 17:46:36 +0000 (18:46 +0100)]
Merge pull request #22 from hansiglaser/master

Little improvements for "select"

10 years agoAdded BTOR backend README file
Clifford Wolf [Wed, 5 Feb 2014 17:31:10 +0000 (18:31 +0100)]
Added BTOR backend README file

10 years agoAdded selection support for r: and selection with relational operators
Clifford Wolf [Wed, 5 Feb 2014 17:24:45 +0000 (18:24 +0100)]
Added selection support for r: and selection with relational operators

10 years agobe more verbose for select -assert-any and -assert-none
Johann Glaser [Wed, 5 Feb 2014 15:03:02 +0000 (16:03 +0100)]
be more verbose for select -assert-any and -assert-none

10 years agoimproved help for "select"
Johann Glaser [Wed, 5 Feb 2014 14:53:02 +0000 (15:53 +0100)]
improved help for "select"

10 years agopresentation progress
Clifford Wolf [Wed, 5 Feb 2014 14:06:13 +0000 (15:06 +0100)]
presentation progress

10 years agopresentation progress
Clifford Wolf [Wed, 5 Feb 2014 12:12:50 +0000 (13:12 +0100)]
presentation progress

10 years agoAdded read_verilog -setattr
Clifford Wolf [Wed, 5 Feb 2014 10:22:10 +0000 (11:22 +0100)]
Added read_verilog -setattr

10 years agoAdded setattr and setparam commands
Clifford Wolf [Wed, 5 Feb 2014 10:11:55 +0000 (11:11 +0100)]
Added setattr and setparam commands

10 years agoUpdated todo items in README file
Clifford Wolf [Wed, 5 Feb 2014 00:59:30 +0000 (01:59 +0100)]
Updated todo items in README file

10 years agoRemoved old unused files from tests/
Clifford Wolf [Wed, 5 Feb 2014 00:55:39 +0000 (01:55 +0100)]
Removed old unused files from tests/

10 years agoAdded support for dump -append
Clifford Wolf [Tue, 4 Feb 2014 22:45:30 +0000 (23:45 +0100)]
Added support for dump -append

10 years agoThrow errors if non-existing selection variables are used
Clifford Wolf [Tue, 4 Feb 2014 22:31:06 +0000 (23:31 +0100)]
Throw errors if non-existing selection variables are used

10 years agoAdded select -none
Clifford Wolf [Tue, 4 Feb 2014 22:23:44 +0000 (23:23 +0100)]
Added select -none

10 years agopresentation progress
Clifford Wolf [Tue, 4 Feb 2014 22:00:48 +0000 (23:00 +0100)]
presentation progress

10 years agoFixed detection of init attribute in opt_rmdff
Clifford Wolf [Tue, 4 Feb 2014 22:00:32 +0000 (23:00 +0100)]
Fixed detection of init attribute in opt_rmdff

10 years agoAdded support for inline commands to abc -script
Clifford Wolf [Tue, 4 Feb 2014 21:01:53 +0000 (22:01 +0100)]
Added support for inline commands to abc -script

10 years agopresentation progress
Clifford Wolf [Tue, 4 Feb 2014 15:51:12 +0000 (16:51 +0100)]
presentation progress

10 years agoAdded hierarchy -purge_lib option
Clifford Wolf [Tue, 4 Feb 2014 15:50:13 +0000 (16:50 +0100)]
Added hierarchy -purge_lib option

10 years agoAdded test cases for sat command
Clifford Wolf [Tue, 4 Feb 2014 12:43:34 +0000 (13:43 +0100)]
Added test cases for sat command

10 years agoadded sat -falsify
Clifford Wolf [Tue, 4 Feb 2014 12:34:37 +0000 (13:34 +0100)]
added sat -falsify

10 years agoFixed bug in sequential sat proofs and improved handling of asserts
Clifford Wolf [Tue, 4 Feb 2014 11:46:16 +0000 (12:46 +0100)]
Fixed bug in sequential sat proofs and improved handling of asserts

10 years agoImproved handling of reg init in opt_share and opt_rmdff
Clifford Wolf [Tue, 4 Feb 2014 11:02:47 +0000 (12:02 +0100)]
Improved handling of reg init in opt_share and opt_rmdff

10 years agopresentation progress
Clifford Wolf [Mon, 3 Feb 2014 23:57:11 +0000 (00:57 +0100)]
presentation progress

10 years agopresentation progress
Clifford Wolf [Mon, 3 Feb 2014 15:26:27 +0000 (16:26 +0100)]
presentation progress

10 years agoAddred sat option -ignore_unknown_cells
Clifford Wolf [Mon, 3 Feb 2014 15:26:10 +0000 (16:26 +0100)]
Addred sat option -ignore_unknown_cells

10 years agoAdded TRANSPARENT parameter to $memrd (and RD_TRANSPARENT to $mem)
Clifford Wolf [Mon, 3 Feb 2014 12:01:45 +0000 (13:01 +0100)]
Added TRANSPARENT parameter to $memrd (and RD_TRANSPARENT to $mem)

10 years agoReplaced isim with xsim in tests/tools/autotest.sh, removed xst support
Clifford Wolf [Mon, 3 Feb 2014 12:00:55 +0000 (13:00 +0100)]
Replaced isim with xsim in tests/tools/autotest.sh, removed xst support

10 years agoMore opt_const -mux_bool features
Clifford Wolf [Sun, 2 Feb 2014 21:41:24 +0000 (22:41 +0100)]
More opt_const -mux_bool features

10 years agopresentation progress
Clifford Wolf [Sun, 2 Feb 2014 21:26:26 +0000 (22:26 +0100)]
presentation progress

10 years agoAdded opt_const -mux_bool
Clifford Wolf [Sun, 2 Feb 2014 21:11:08 +0000 (22:11 +0100)]
Added opt_const -mux_bool

10 years agoAdded support for inverter chains to opt_const
Clifford Wolf [Sun, 2 Feb 2014 20:46:42 +0000 (21:46 +0100)]
Added support for inverter chains to opt_const

10 years agoAdded RTLIL::SigSpec::to_single_sigbit()
Clifford Wolf [Sun, 2 Feb 2014 20:35:26 +0000 (21:35 +0100)]
Added RTLIL::SigSpec::to_single_sigbit()

10 years agoOnly generate write-enable $and if WE is not constant 1 in memory_map
Clifford Wolf [Sun, 2 Feb 2014 20:27:26 +0000 (21:27 +0100)]
Only generate write-enable $and if WE is not constant 1 in memory_map

10 years agoAdded constant-clock case to opt_rmdff
Clifford Wolf [Sun, 2 Feb 2014 20:09:08 +0000 (21:09 +0100)]
Added constant-clock case to opt_rmdff

10 years agopresentation progress
Clifford Wolf [Sun, 2 Feb 2014 16:57:14 +0000 (17:57 +0100)]
presentation progress

10 years agoAdded show -notitle option
Clifford Wolf [Sun, 2 Feb 2014 16:55:32 +0000 (17:55 +0100)]
Added show -notitle option

10 years agoAdded delete command
Clifford Wolf [Sun, 2 Feb 2014 16:11:19 +0000 (17:11 +0100)]
Added delete command

10 years agoAdded suuport for module attribute matching with A:<pattern>[=<pattern>] syntax
Clifford Wolf [Sun, 2 Feb 2014 15:47:17 +0000 (16:47 +0100)]
Added suuport for module attribute matching with A:<pattern>[=<pattern>] syntax

10 years agopresentation progress
Clifford Wolf [Sun, 2 Feb 2014 12:30:49 +0000 (13:30 +0100)]
presentation progress

10 years agopresentation progress
Clifford Wolf [Sun, 2 Feb 2014 12:06:28 +0000 (13:06 +0100)]
presentation progress

10 years agoAdded support for blanks after -I and -D in read_verilog
Clifford Wolf [Sun, 2 Feb 2014 12:06:21 +0000 (13:06 +0100)]
Added support for blanks after -I and -D in read_verilog

10 years agoFixed a bug in miter command
Clifford Wolf [Sat, 1 Feb 2014 21:53:27 +0000 (22:53 +0100)]
Fixed a bug in miter command

10 years agoAdded sat -show-inputs and -show-outputs
Clifford Wolf [Sat, 1 Feb 2014 21:52:44 +0000 (22:52 +0100)]
Added sat -show-inputs and -show-outputs

10 years agoAdded show -color support for cells and finished show -label implementation
Clifford Wolf [Sat, 1 Feb 2014 17:23:32 +0000 (18:23 +0100)]
Added show -color support for cells and finished show -label implementation

10 years agoFixed comment/eol parsing in ilang frontend
Clifford Wolf [Sat, 1 Feb 2014 16:28:02 +0000 (17:28 +0100)]
Fixed comment/eol parsing in ilang frontend

10 years agoAdded constant size expression support of sized constants
Clifford Wolf [Sat, 1 Feb 2014 12:50:23 +0000 (13:50 +0100)]
Added constant size expression support of sized constants

10 years agoAdded note about SystemVerilog assert statement to README
Clifford Wolf [Sat, 1 Feb 2014 12:04:49 +0000 (13:04 +0100)]
Added note about SystemVerilog assert statement to README

10 years agoAdded miter command
Clifford Wolf [Sat, 1 Feb 2014 09:35:56 +0000 (10:35 +0100)]
Added miter command

10 years agoProgress on presentation
Clifford Wolf [Fri, 31 Jan 2014 11:48:31 +0000 (12:48 +0100)]
Progress on presentation

10 years agoMore changes to techlibs/common/simlib.v for LEC
Clifford Wolf [Fri, 31 Jan 2014 10:21:29 +0000 (11:21 +0100)]
More changes to techlibs/common/simlib.v for LEC

10 years agopresentation progress
Clifford Wolf [Thu, 30 Jan 2014 14:25:09 +0000 (15:25 +0100)]
presentation progress

10 years agoBugfix in name resolution with generate blocks
Clifford Wolf [Thu, 30 Jan 2014 13:52:46 +0000 (14:52 +0100)]
Bugfix in name resolution with generate blocks

10 years agoAdded yosys -H for command list
Clifford Wolf [Thu, 30 Jan 2014 11:32:59 +0000 (12:32 +0100)]
Added yosys -H for command list

10 years agopresentation progress
Clifford Wolf [Wed, 29 Jan 2014 14:56:58 +0000 (15:56 +0100)]
presentation progress

10 years agopresentation progress
Clifford Wolf [Wed, 29 Jan 2014 11:15:38 +0000 (12:15 +0100)]
presentation progress

10 years agoTiny change in example script in README
Clifford Wolf [Wed, 29 Jan 2014 10:11:10 +0000 (11:11 +0100)]
Tiny change in example script in README

10 years agoAdded -h command line option
Clifford Wolf [Wed, 29 Jan 2014 10:10:39 +0000 (11:10 +0100)]
Added -h command line option

10 years agoAdded test comments to techlibs/cmos/cmos_cells.lib
Clifford Wolf [Wed, 29 Jan 2014 09:51:02 +0000 (10:51 +0100)]
Added test comments to techlibs/cmos/cmos_cells.lib

10 years agoUpdated ABC to hg rev e6b09e1
Clifford Wolf [Wed, 29 Jan 2014 09:50:15 +0000 (10:50 +0100)]
Updated ABC to hg rev e6b09e1

10 years agoAdded read_verilog -icells option
Clifford Wolf [Tue, 28 Jan 2014 23:59:28 +0000 (00:59 +0100)]
Added read_verilog -icells option

10 years agoMajor rewrite of techlibs/common/simlib.v for LEC (cadance conformal)
Clifford Wolf [Tue, 28 Jan 2014 23:36:03 +0000 (00:36 +0100)]
Major rewrite of techlibs/common/simlib.v for LEC (cadance conformal)

10 years agopresentation progress
Clifford Wolf [Tue, 28 Jan 2014 19:28:22 +0000 (20:28 +0100)]
presentation progress

10 years agoRenamed manual/FILES_* directories
Clifford Wolf [Tue, 28 Jan 2014 05:55:47 +0000 (06:55 +0100)]
Renamed manual/FILES_* directories

10 years agoProgress on presentation
Clifford Wolf [Tue, 28 Jan 2014 05:51:50 +0000 (06:51 +0100)]
Progress on presentation

10 years agoProgress on presentation
Clifford Wolf [Mon, 27 Jan 2014 19:42:35 +0000 (20:42 +0100)]
Progress on presentation

10 years agoAdded first presentation slides
Clifford Wolf [Mon, 27 Jan 2014 16:08:19 +0000 (17:08 +0100)]
Added first presentation slides

10 years agoMerge branch 'btor' of https://github.com/ahmedirfan1983/yosys
Clifford Wolf [Sun, 26 Jan 2014 01:29:19 +0000 (02:29 +0100)]
Merge branch 'btor' of https://github.com/ahmedirfan1983/yosys

10 years agoMerge pull request #21 from hansiglaser/master
Clifford Wolf [Sun, 26 Jan 2014 01:28:17 +0000 (17:28 -0800)]
Merge pull request #21 from hansiglaser/master

beautified write_intersynth, enabled multiple "-map" for the extract pass

10 years agoenabled multiple "-map" for the extract pass
Johann Glaser [Sat, 25 Jan 2014 20:11:34 +0000 (21:11 +0100)]
enabled multiple "-map" for the extract pass

10 years agobeautified write_intersynth
Johann Glaser [Sat, 25 Jan 2014 19:16:38 +0000 (20:16 +0100)]
beautified write_intersynth

10 years agoroot bug corrected
Ahmed Irfan [Sat, 25 Jan 2014 18:33:24 +0000 (19:33 +0100)]
root bug corrected

10 years agoAdded support for // comments in liberty parser
Clifford Wolf [Sat, 25 Jan 2014 05:32:16 +0000 (06:32 +0100)]
Added support for // comments in liberty parser

10 years agoMerge branch 'btor'
Clifford Wolf [Fri, 24 Jan 2014 22:44:46 +0000 (23:44 +0100)]
Merge branch 'btor'

10 years agoremoved regex include
Ahmed Irfan [Fri, 24 Jan 2014 17:04:37 +0000 (18:04 +0100)]
removed regex include

10 years agomerged clifford changes + removed regex
Ahmed Irfan [Fri, 24 Jan 2014 16:35:42 +0000 (17:35 +0100)]
merged clifford changes + removed regex

10 years agoUse techmap -share_map in btor scripts
Clifford Wolf [Fri, 24 Jan 2014 14:52:16 +0000 (15:52 +0100)]
Use techmap -share_map in btor scripts

10 years agoMoved btor scripts to backends/btor/
Clifford Wolf [Fri, 24 Jan 2014 14:48:07 +0000 (15:48 +0100)]
Moved btor scripts to backends/btor/

10 years agoRestored Makefile
Clifford Wolf [Fri, 24 Jan 2014 14:47:09 +0000 (15:47 +0100)]
Restored Makefile

10 years agoRestored IdString::check()
Clifford Wolf [Fri, 24 Jan 2014 14:46:41 +0000 (15:46 +0100)]
Restored IdString::check()

10 years agoMerge branch 'btor' of https://github.com/ahmedirfan1983/yosys into btor
Clifford Wolf [Fri, 24 Jan 2014 14:43:42 +0000 (15:43 +0100)]
Merge branch 'btor' of https://github.com/ahmedirfan1983/yosys into btor

10 years agoFixed handling of unsized constants in verilog frontend
Clifford Wolf [Fri, 24 Jan 2014 14:05:24 +0000 (15:05 +0100)]
Fixed handling of unsized constants in verilog frontend

10 years agominor change in script
Ahmed Irfan [Fri, 24 Jan 2014 14:00:43 +0000 (15:00 +0100)]
minor change in script

10 years agoMerge branch 'master' of https://github.com/cliffordwolf/yosys into btor
Ahmed Irfan [Wed, 22 Jan 2014 09:45:21 +0000 (10:45 +0100)]
Merge branch 'master' of https://github.com/cliffordwolf/yosys into btor

10 years agoFixed algorithmic complexity of AST simplification of long expressions
Clifford Wolf [Mon, 20 Jan 2014 19:25:20 +0000 (20:25 +0100)]
Fixed algorithmic complexity of AST simplification of long expressions

10 years agoslice bug corrected
Ahmed Irfan [Mon, 20 Jan 2014 17:35:52 +0000 (18:35 +0100)]
slice bug corrected

10 years agoassert feature
Ahmed Irfan [Mon, 20 Jan 2014 09:45:02 +0000 (10:45 +0100)]
assert feature

10 years agoMerge branch 'master' of https://github.com/cliffordwolf/yosys into btor
Ahmed Irfan [Mon, 20 Jan 2014 08:58:04 +0000 (09:58 +0100)]
Merge branch 'master' of https://github.com/cliffordwolf/yosys into btor

10 years agoAdded hilomap command
Clifford Wolf [Sun, 19 Jan 2014 20:58:58 +0000 (21:58 +0100)]
Added hilomap command

10 years agoAdded sat -tempinduc and sat -prove-asserts
Clifford Wolf [Sun, 19 Jan 2014 14:38:23 +0000 (15:38 +0100)]
Added sat -tempinduc and sat -prove-asserts

10 years agoAdded $assert support to satgen
Clifford Wolf [Sun, 19 Jan 2014 14:37:56 +0000 (15:37 +0100)]
Added $assert support to satgen

10 years agoAdded $assert cell
Clifford Wolf [Sun, 19 Jan 2014 13:03:40 +0000 (14:03 +0100)]
Added $assert cell

10 years agoAdded Verilog parser support for asserts
Clifford Wolf [Sun, 19 Jan 2014 03:18:22 +0000 (04:18 +0100)]
Added Verilog parser support for asserts

10 years agoscript added
Ahmed Irfan [Sat, 18 Jan 2014 20:54:52 +0000 (21:54 +0100)]
script added