yosys.git
5 years agoopt_lut: simplify type conversion. NFC.
whitequark [Wed, 5 Dec 2018 19:12:02 +0000 (19:12 +0000)]
opt_lut: simplify type conversion. NFC.

5 years agoMerge pull request #709 from smunaut/issue_708
Clifford Wolf [Wed, 5 Dec 2018 17:19:44 +0000 (09:19 -0800)]
Merge pull request #709 from smunaut/issue_708

Make return value of $clog2 signed

5 years agoMerge pull request #718 from whitequark/gate2lut
Clifford Wolf [Wed, 5 Dec 2018 17:16:35 +0000 (09:16 -0800)]
Merge pull request #718 from whitequark/gate2lut

 gate2lut: new techlib, for converting Yosys gates to FPGA LUTs

5 years agosynth_ice40: add -noabc option, to use built-in LUT techmapping.
whitequark [Wed, 5 Dec 2018 05:24:15 +0000 (05:24 +0000)]
synth_ice40: add -noabc option, to use built-in LUT techmapping.

This should be combined with -relut to get sensible results.

5 years agogate2lut: new techlib, for converting Yosys gates to FPGA LUTs.
whitequark [Wed, 5 Dec 2018 04:50:38 +0000 (04:50 +0000)]
gate2lut: new techlib, for converting Yosys gates to FPGA LUTs.

5 years agoFix typo.
whitequark [Wed, 5 Dec 2018 04:32:01 +0000 (04:32 +0000)]
Fix typo.

5 years agoMerge pull request #713 from Diego-HR/master
Clifford Wolf [Wed, 5 Dec 2018 17:08:30 +0000 (09:08 -0800)]
Merge pull request #713 from Diego-HR/master

Changes in GoWin synth commands and ALU primitive support

5 years agoMerge pull request #712 from mmicko/anlogic-support
Clifford Wolf [Wed, 5 Dec 2018 17:08:04 +0000 (09:08 -0800)]
Merge pull request #712 from mmicko/anlogic-support

Initial support for Anlogic FPGA

5 years agoRename opt_lut.cpp to opt_lut.cc
Clifford Wolf [Wed, 5 Dec 2018 17:03:58 +0000 (18:03 +0100)]
Rename opt_lut.cpp to opt_lut.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #717 from whitequark/opt_lut
Clifford Wolf [Wed, 5 Dec 2018 17:02:13 +0000 (09:02 -0800)]
Merge pull request #717 from whitequark/opt_lut

Add a new opt_lut pass, which combines inefficiently packed LUTs

5 years agoMerge pull request #716 from whitequark/ice40_unlut
Clifford Wolf [Wed, 5 Dec 2018 16:59:21 +0000 (08:59 -0800)]
Merge pull request #716 from whitequark/ice40_unlut

Extract ice40_unlut pass from ice40_opt

5 years agoopt_lut: add -dlogic, to avoid disturbing logic such as carry chains.
whitequark [Wed, 5 Dec 2018 15:26:40 +0000 (15:26 +0000)]
opt_lut: add -dlogic, to avoid disturbing logic such as carry chains.

5 years agoopt_lut: always prefer to eliminate 1-LUTs.
whitequark [Wed, 5 Dec 2018 13:14:44 +0000 (13:14 +0000)]
opt_lut: always prefer to eliminate 1-LUTs.

These are always either buffers or inverters, and keeping the larger
LUT preserves more source-level information about the design.

5 years agoopt_lut: collect and display statistics.
whitequark [Wed, 5 Dec 2018 12:35:27 +0000 (12:35 +0000)]
opt_lut: collect and display statistics.

5 years agoopt_lut: refactor to use a worker. NFC.
whitequark [Wed, 5 Dec 2018 12:26:41 +0000 (12:26 +0000)]
opt_lut: refactor to use a worker. NFC.

5 years agosynth_ice40: add -relut option, to run ice40_unlut and opt_lut.
whitequark [Wed, 5 Dec 2018 00:28:03 +0000 (00:28 +0000)]
synth_ice40: add -relut option, to run ice40_unlut and opt_lut.

5 years agoopt_lut: new pass, to combine LUTs for tighter packing.
whitequark [Wed, 5 Dec 2018 00:23:22 +0000 (00:23 +0000)]
opt_lut: new pass, to combine LUTs for tighter packing.

5 years agoExtract ice40_unlut pass from ice40_opt.
whitequark [Tue, 4 Dec 2018 19:43:33 +0000 (19:43 +0000)]
Extract ice40_unlut pass from ice40_opt.

Currently, `ice40_opt -unlut` would map SB_LUT4 to $lut and convert
them back to logic immediately. This is not desirable if the goal
is to operate on $lut cells. If this is desirable, the same result
as `ice40_opt -unlut` can be achieved by running simplemap and opt
after ice40_unlut.

5 years agoMerge pull request #719 from YosysHQ/q3k/flailing-around-trying-to-fix-osx
Serge Bazanski [Wed, 5 Dec 2018 16:22:14 +0000 (17:22 +0100)]
Merge pull request #719 from YosysHQ/q3k/flailing-around-trying-to-fix-osx

Fix Travis on OSX

5 years agotravis/osx: fix, use clang instead of gcc
Sergiusz Bazanski [Wed, 5 Dec 2018 10:50:58 +0000 (11:50 +0100)]
travis/osx: fix, use clang instead of gcc

5 years agoFix typo
Clifford Wolf [Tue, 4 Dec 2018 22:30:23 +0000 (23:30 +0100)]
Fix typo

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #702 from smunaut/min_ce_use
Clifford Wolf [Tue, 4 Dec 2018 22:29:21 +0000 (14:29 -0800)]
Merge pull request #702 from smunaut/min_ce_use

Add option to only use DFFE is the resulting E signal would be use > N times

5 years agoChanges in GoWin synth commands and ALU primitive support
Diego H [Tue, 4 Dec 2018 02:08:35 +0000 (20:08 -0600)]
Changes in GoWin synth commands and ALU primitive support

5 years agoLeave only real black box cells
Miodrag Milanovic [Sun, 2 Dec 2018 10:57:50 +0000 (11:57 +0100)]
Leave only real black box cells

5 years agoInitial support for Anlogic FPGA
Miodrag Milanovic [Sat, 1 Dec 2018 17:28:54 +0000 (18:28 +0100)]
Initial support for Anlogic FPGA

5 years agoMerge pull request #676 from rafaeltp/master
Clifford Wolf [Sat, 1 Dec 2018 03:11:19 +0000 (04:11 +0100)]
Merge pull request #676 from rafaeltp/master

Splits SigSpec into bits before calling check_signal_in_fanout (solves #675)

5 years agoImprove ConstEval error handling for non-eval cell types
Clifford Wolf [Thu, 29 Nov 2018 04:07:40 +0000 (05:07 +0100)]
Improve ConstEval error handling for non-eval cell types

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoice40: Add option to only use CE if it'd be use by more than X FFs
Sylvain Munaut [Thu, 15 Nov 2018 01:49:35 +0000 (02:49 +0100)]
ice40: Add option to only use CE if it'd be use by more than X FFs

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agodff2dffe: Add option for unmap to only remove DFFE with low CE signal use
Sylvain Munaut [Thu, 15 Nov 2018 01:48:44 +0000 (02:48 +0100)]
dff2dffe: Add option for unmap to only remove DFFE with low CE signal use

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agoMake return value of $clog2 signed
Sylvain Munaut [Sat, 24 Nov 2018 17:49:23 +0000 (18:49 +0100)]
Make return value of $clog2 signed

As per Verilog 2005 - 17.11.1.

Fixes #708

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agoAdd iteration limit to "opt_muxtree"
Clifford Wolf [Tue, 20 Nov 2018 16:56:47 +0000 (17:56 +0100)]
Add iteration limit to "opt_muxtree"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUpdate ABC to git rev 2ddc57d
Clifford Wolf [Tue, 13 Nov 2018 16:22:28 +0000 (17:22 +0100)]
Update ABC to git rev 2ddc57d

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "write_aiger -I -O -B"
Clifford Wolf [Mon, 12 Nov 2018 08:27:33 +0000 (09:27 +0100)]
Add "write_aiger -I -O -B"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Clifford Wolf [Mon, 12 Nov 2018 08:10:25 +0000 (09:10 +0100)]
Merge branch 'master' of github.com:YosysHQ/yosys

5 years agoMerge pull request #697 from eddiehung/xilinx_ps7
Clifford Wolf [Mon, 12 Nov 2018 08:09:22 +0000 (09:09 +0100)]
Merge pull request #697 from eddiehung/xilinx_ps7

Add support for PS7 block for Xilinx

5 years agoMerge pull request #695 from daveshah1/ecp5_bb
Clifford Wolf [Mon, 12 Nov 2018 08:08:49 +0000 (09:08 +0100)]
Merge pull request #695 from daveshah1/ecp5_bb

ecp5: Adding some blackbox cells

5 years agoUpdate ABC to git rev 68da3cf
Clifford Wolf [Sun, 11 Nov 2018 18:37:31 +0000 (19:37 +0100)]
Update ABC to git rev 68da3cf

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd support for Xilinx PS7 block
Eddie Hung [Sat, 10 Nov 2018 20:37:45 +0000 (12:37 -0800)]
Add support for Xilinx PS7 block

5 years agoSet Verific flag vhdl_support_variable_slice=1
Clifford Wolf [Fri, 9 Nov 2018 20:03:13 +0000 (21:03 +0100)]
Set Verific flag vhdl_support_variable_slice=1

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoecp5: Add 'fake' DCU parameters
David Shah [Fri, 9 Nov 2018 18:25:42 +0000 (18:25 +0000)]
ecp5: Add 'fake' DCU parameters

Signed-off-by: David Shah <dave@ds0.me>
5 years agoecp5: Add blackboxes for ancillary DCU cells
David Shah [Fri, 9 Nov 2018 15:18:30 +0000 (15:18 +0000)]
ecp5: Add blackboxes for ancillary DCU cells

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge pull request #696 from arjenroodselaar/verific_darwin
Clifford Wolf [Fri, 9 Nov 2018 12:02:49 +0000 (13:02 +0100)]
Merge pull request #696 from arjenroodselaar/verific_darwin

Use appropriate static libraries when building with Verific on MacOS

5 years agoFix "make ystests" to use correct Yosys binary
Clifford Wolf [Thu, 8 Nov 2018 08:58:47 +0000 (09:58 +0100)]
Fix "make ystests" to use correct Yosys binary

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUse appropriate static libraries when building with Verific on MacOS
Arjen Roodselaar [Thu, 8 Nov 2018 07:18:47 +0000 (23:18 -0800)]
Use appropriate static libraries when building with Verific on MacOS

5 years agoMerge pull request #693 from YosysHQ/rlimit
Clifford Wolf [Wed, 7 Nov 2018 19:16:40 +0000 (20:16 +0100)]
Merge pull request #693 from YosysHQ/rlimit

improve rlimit handling in smtio.py

5 years agoecp5: Adding some blackbox cells
David Shah [Wed, 7 Nov 2018 14:56:38 +0000 (14:56 +0000)]
ecp5: Adding some blackbox cells

Signed-off-by: David Shah <dave@ds0.me>
5 years agoLimit stack size to 16 MB on Darwin
Clifford Wolf [Wed, 7 Nov 2018 14:32:34 +0000 (15:32 +0100)]
Limit stack size to 16 MB on Darwin

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #694 from trcwm/dffmap_expr_fix
Clifford Wolf [Tue, 6 Nov 2018 11:21:05 +0000 (12:21 +0100)]
Merge pull request #694 from trcwm/dffmap_expr_fix

DFFLIBMAP: changed 'missing pin' error into a warning.

5 years agoDFFLIBMAP: changed 'missing pin' error into a warning with additional reason/info.
Niels Moseley [Tue, 6 Nov 2018 11:11:52 +0000 (12:11 +0100)]
DFFLIBMAP: changed 'missing pin' error into a warning with additional reason/info.

5 years agoRun solver in non-incremental mode whem smtio.py is configured for non-incremental...
Clifford Wolf [Tue, 6 Nov 2018 10:11:05 +0000 (11:11 +0100)]
Run solver in non-incremental mode whem smtio.py is configured for non-incremental solving

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUpdate ABC rev to 4d56acf
Clifford Wolf [Tue, 6 Nov 2018 10:10:27 +0000 (11:10 +0100)]
Update ABC rev to 4d56acf

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix for improved smtio.py rlimit code
Clifford Wolf [Tue, 6 Nov 2018 09:09:03 +0000 (10:09 +0100)]
Fix for improved smtio.py rlimit code

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove stack rlimit code in smtio.py
Clifford Wolf [Tue, 6 Nov 2018 09:05:23 +0000 (10:05 +0100)]
Improve stack rlimit code in smtio.py

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAllow square brackets in liberty identifiers
Clifford Wolf [Mon, 5 Nov 2018 11:33:21 +0000 (12:33 +0100)]
Allow square brackets in liberty identifiers

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #691 from arjenroodselaar/stacksize
Clifford Wolf [Mon, 5 Nov 2018 08:19:56 +0000 (09:19 +0100)]
Merge pull request #691 from arjenroodselaar/stacksize

Use conservative stack size for SMT2 on MacOS

5 years agoUse conservative stack size for SMT2 on MacOS
Arjen Roodselaar [Mon, 5 Nov 2018 05:58:09 +0000 (21:58 -0800)]
Use conservative stack size for SMT2 on MacOS

5 years agoAdd warning for SV "restrict" without "property"
Clifford Wolf [Sun, 4 Nov 2018 14:57:17 +0000 (15:57 +0100)]
Add warning for SV "restrict" without "property"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd proper error message for when smtbmc "append" fails
Clifford Wolf [Sun, 4 Nov 2018 13:41:28 +0000 (14:41 +0100)]
Add proper error message for when smtbmc "append" fails

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoVarious indenting fixes in AST front-end (mostly space vs tab issues)
Clifford Wolf [Sun, 4 Nov 2018 09:19:32 +0000 (10:19 +0100)]
Various indenting fixes in AST front-end (mostly space vs tab issues)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #687 from trcwm/master
Clifford Wolf [Sun, 4 Nov 2018 09:08:33 +0000 (10:08 +0100)]
Merge pull request #687 from trcwm/master

Liberty file: error when it contains pin references to non-existing pins

5 years agoMerge pull request #688 from ZipCPU/rosenfell
Clifford Wolf [Sun, 4 Nov 2018 09:04:48 +0000 (10:04 +0100)]
Merge pull request #688 from ZipCPU/rosenfell

Make rose and fell dependent upon LSB only

5 years agoMake and dependent upon LSB only
ZipCPU [Sat, 3 Nov 2018 17:39:32 +0000 (13:39 -0400)]
Make  and  dependent upon LSB only

5 years agoLiberty file newline handling is more relaxed. More descriptive error message
Niels Moseley [Sat, 3 Nov 2018 17:38:49 +0000 (18:38 +0100)]
Liberty file newline handling is more relaxed. More descriptive error message

5 years agoReport an error when a liberty file contains pin references that reference non-existi...
Niels Moseley [Sat, 3 Nov 2018 17:07:51 +0000 (18:07 +0100)]
Report an error when a liberty file contains pin references that reference non-existing pins

5 years agoDo not generate "reg assigned in a continuous assignment" warnings for "rand reg"
Clifford Wolf [Thu, 1 Nov 2018 14:25:24 +0000 (15:25 +0100)]
Do not generate "reg assigned in a continuous assignment" warnings for "rand reg"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd support for signed $shift/$shiftx in smt2 back-end
Clifford Wolf [Thu, 1 Nov 2018 10:40:58 +0000 (11:40 +0100)]
Add support for signed $shift/$shiftx in smt2 back-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'igloo2'
Clifford Wolf [Wed, 31 Oct 2018 14:37:39 +0000 (15:37 +0100)]
Merge branch 'igloo2'

5 years agoFix sf2 LUT interface
Clifford Wolf [Wed, 31 Oct 2018 14:36:53 +0000 (15:36 +0100)]
Fix sf2 LUT interface

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoBasic SmartFusion2 and IGLOO2 synthesis support
Clifford Wolf [Wed, 31 Oct 2018 14:28:57 +0000 (15:28 +0100)]
Basic SmartFusion2 and IGLOO2 synthesis support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #680 from jburgess777/fix-empty-string-back-assert
Clifford Wolf [Tue, 30 Oct 2018 10:25:07 +0000 (11:25 +0100)]
Merge pull request #680 from jburgess777/fix-empty-string-back-assert

Avoid assert when label is an empty string

5 years agoAvoid assert when label is an empty string
Jon Burgess [Sun, 28 Oct 2018 14:49:09 +0000 (14:49 +0000)]
Avoid assert when label is an empty string

Calling back() on an empty string is not allowed and triggers
an assert with recent gcc:

$ cd manual/PRESENTATION_Intro
$ ../../yosys counter.ys
...
/usr/include/c++/8/bits/basic_string.h:1136: std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::reference std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::back() [with _CharT = char; _Traits = std::char_traits<char>; _Alloc = std::allocator<char>; std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::reference = char&]: Assertion '!empty()' failed.

802             if (label.back() == ':' && GetSize(label) > 1)
(gdb) p label
$1 = ""

5 years agoMerge pull request #678 from whentze/master
Clifford Wolf [Thu, 25 Oct 2018 11:23:26 +0000 (13:23 +0200)]
Merge pull request #678 from whentze/master

Fix unhandled std::out_of_range in run_frontend() due to integer underflow

5 years agoFix minor typo in error message
Clifford Wolf [Thu, 25 Oct 2018 11:20:00 +0000 (13:20 +0200)]
Fix minor typo in error message

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #679 from udif/pr_syntax_error
Clifford Wolf [Thu, 25 Oct 2018 11:18:59 +0000 (13:18 +0200)]
Merge pull request #679 from udif/pr_syntax_error

More meaningful SystemVerilog/Verilog parser error messages

5 years agoRename the generic "Syntax error" message from the Verilog/SystemVerilog parser into...
Udi Finkelstein [Wed, 24 Oct 2018 23:37:56 +0000 (02:37 +0300)]
Rename the generic "Syntax error" message from the Verilog/SystemVerilog parser into unique,
meaningful info on the error.
Also add 13 compilation examples that triggers each of these messages.

5 years agoMerge pull request #677 from daveshah1/ecp5_dsp
Clifford Wolf [Tue, 23 Oct 2018 17:18:45 +0000 (19:18 +0200)]
Merge pull request #677 from daveshah1/ecp5_dsp

ecp5: Add blackboxes for MULT18X18D and ALU54B

5 years agofix unhandled std::out_of_range when calling yosys with 3-character argument
whentze [Mon, 22 Oct 2018 17:40:22 +0000 (19:40 +0200)]
fix unhandled std::out_of_range when calling yosys with 3-character argument

5 years agoecp5: Remove DSP parameters that don't work
David Shah [Mon, 22 Oct 2018 15:20:38 +0000 (16:20 +0100)]
ecp5: Remove DSP parameters that don't work

Signed-off-by: David Shah <davey1576@gmail.com>
5 years agousing [i] to access individual bits of SigSpec and merging bits into a tmp Sig before...
rafaeltp [Sun, 21 Oct 2018 18:32:44 +0000 (11:32 -0700)]
using [i] to access individual bits of SigSpec and merging bits into a tmp Sig before setting the port to new signal

5 years agoecp5: Add DSP blackboxes
David Shah [Sun, 21 Oct 2018 18:27:02 +0000 (19:27 +0100)]
ecp5: Add DSP blackboxes

Signed-off-by: David Shah <davey1576@gmail.com>
5 years agocleaning up for PR
rafaeltp [Sun, 21 Oct 2018 01:02:59 +0000 (18:02 -0700)]
cleaning up for PR

5 years agofixing code style
rafaeltp [Sun, 21 Oct 2018 00:57:26 +0000 (17:57 -0700)]
fixing code style

5 years agosolves #675
rafaeltp [Sun, 21 Oct 2018 00:50:21 +0000 (17:50 -0700)]
solves #675

5 years agoMerge pull request #1 from YosysHQ/master
rafaeltp [Sun, 21 Oct 2018 00:01:09 +0000 (17:01 -0700)]
Merge pull request #1 from YosysHQ/master

updating

5 years agoImprove read_verilog range out of bounds warning
Clifford Wolf [Sat, 20 Oct 2018 21:48:53 +0000 (23:48 +0200)]
Improve read_verilog range out of bounds warning

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #674 from rubund/feature/svinterface_at_top
Clifford Wolf [Sat, 20 Oct 2018 21:28:09 +0000 (23:28 +0200)]
Merge pull request #674 from rubund/feature/svinterface_at_top

Support for SystemVerilog interfaces as ports in the top level module + test case

5 years agoRefactor code to avoid code duplication + added comments
Ruben Undheim [Sat, 20 Oct 2018 10:45:51 +0000 (12:45 +0200)]
Refactor code to avoid code duplication + added comments

5 years agoSupport for SystemVerilog interfaces as a port in the top level module + test case
Ruben Undheim [Sat, 20 Oct 2018 09:58:25 +0000 (11:58 +0200)]
Support for SystemVerilog interfaces as a port in the top level module + test case

5 years agoFixed memory leak
Ruben Undheim [Sat, 20 Oct 2018 09:57:39 +0000 (11:57 +0200)]
Fixed memory leak

5 years agoMerge pull request #673 from daveshah1/ecp5_improve
Clifford Wolf [Fri, 19 Oct 2018 15:32:42 +0000 (17:32 +0200)]
Merge pull request #673 from daveshah1/ecp5_improve

Small ECP5 improvements

5 years agoecp5: Sim model fixes
David Shah [Thu, 18 Oct 2018 18:40:02 +0000 (19:40 +0100)]
ecp5: Sim model fixes

Signed-off-by: David Shah <dave@ds0.me>
5 years agoecp5: Add latch inference
David Shah [Thu, 18 Oct 2018 18:39:48 +0000 (19:39 +0100)]
ecp5: Add latch inference

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge pull request #672 from daveshah1/fix_bram
Clifford Wolf [Fri, 19 Oct 2018 14:09:11 +0000 (16:09 +0200)]
Merge pull request #672 from daveshah1/fix_bram

memory_bram: Reset make_outreg when growing read ports

5 years agomemory_bram: Reset make_outreg when growing read ports
David Shah [Fri, 19 Oct 2018 13:45:45 +0000 (14:45 +0100)]
memory_bram: Reset make_outreg when growing read ports

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge pull request #671 from rafaeltp/master
Clifford Wolf [Fri, 19 Oct 2018 11:05:51 +0000 (13:05 +0200)]
Merge pull request #671 from rafaeltp/master

adding offset info to memories on verilog output

5 years agoMerge pull request #670 from rubund/feature/basic_svinterface_test
Clifford Wolf [Fri, 19 Oct 2018 11:03:38 +0000 (13:03 +0200)]
Merge pull request #670 from rubund/feature/basic_svinterface_test

Basic test for checking correct synthesis of SystemVerilog interfaces

5 years agoadding offset info to memories
rafaeltp [Thu, 18 Oct 2018 23:22:33 +0000 (16:22 -0700)]
adding offset info to memories

5 years agoadding offset info to memories
rafaeltp [Thu, 18 Oct 2018 23:20:21 +0000 (16:20 -0700)]
adding offset info to memories

5 years agoBasic test for checking correct synthesis of SystemVerilog interfaces
Ruben Undheim [Thu, 18 Oct 2018 19:27:04 +0000 (21:27 +0200)]
Basic test for checking correct synthesis of SystemVerilog interfaces

5 years agoUpdate ABC to git rev 14d985a
Clifford Wolf [Thu, 18 Oct 2018 10:26:53 +0000 (12:26 +0200)]
Update ABC to git rev 14d985a

Signed-off-by: Clifford Wolf <clifford@clifford.at>