yosys.git
5 years agoDo not call opt with -full before muxcover
Eddie Hung [Wed, 26 Jun 2019 18:38:28 +0000 (11:38 -0700)]
Do not call opt with -full before muxcover

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 18:24:39 +0000 (11:24 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoCleanup abc_box_id
Eddie Hung [Wed, 26 Jun 2019 18:23:57 +0000 (11:23 -0700)]
Cleanup abc_box_id

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 17:33:54 +0000 (10:33 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoRemove unused var
Eddie Hung [Wed, 26 Jun 2019 17:33:07 +0000 (10:33 -0700)]
Remove unused var

5 years agoAdd _nowide variants of LUT libraries in -nowidelut flows
Eddie Hung [Wed, 26 Jun 2019 17:23:29 +0000 (10:23 -0700)]
Add _nowide variants of LUT libraries in -nowidelut flows

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Wed, 26 Jun 2019 17:10:16 +0000 (10:10 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:09:59 +0000 (10:09 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoFix spacing
Eddie Hung [Wed, 26 Jun 2019 17:09:18 +0000 (10:09 -0700)]
Fix spacing

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:08:40 +0000 (10:08 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoOops. Actually use nocarry flag as spotted by @koriakin
Eddie Hung [Wed, 26 Jun 2019 17:06:33 +0000 (10:06 -0700)]
Oops. Actually use nocarry flag as spotted by @koriakin

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:04:01 +0000 (10:04 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agosynth_ecp5 rename -nomux to -nowidelut, but preserve former
Eddie Hung [Wed, 26 Jun 2019 16:33:48 +0000 (09:33 -0700)]
synth_ecp5 rename -nomux to -nowidelut, but preserve former

5 years agoMerge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriakin/xc7no...
Eddie Hung [Wed, 26 Jun 2019 16:33:38 +0000 (09:33 -0700)]
Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriakin/xc7nocarrymux

5 years agoRename -minmuxf to -widemux
Eddie Hung [Wed, 26 Jun 2019 16:16:45 +0000 (09:16 -0700)]
Rename -minmuxf to -widemux

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 16:15:28 +0000 (09:15 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoMerge pull request #1136 from YosysHQ/xaig_ice40_wire_del
Eddie Hung [Wed, 26 Jun 2019 15:51:11 +0000 (08:51 -0700)]
Merge pull request #1136 from YosysHQ/xaig_ice40_wire_del

abc9: Add wire delays to synth_ice40

5 years agoabc9: Add wire delays to synth_ice40
David Shah [Wed, 26 Jun 2019 10:39:44 +0000 (11:39 +0100)]
abc9: Add wire delays to synth_ice40

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Tue, 25 Jun 2019 17:39:08 +0000 (10:39 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoMissing muxpack.o in Makefile
Eddie Hung [Tue, 25 Jun 2019 17:38:42 +0000 (10:38 -0700)]
Missing muxpack.o in Makefile

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Tue, 25 Jun 2019 16:36:12 +0000 (09:36 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoRealistic delays for RAM32X1D too
Eddie Hung [Tue, 25 Jun 2019 06:05:28 +0000 (23:05 -0700)]
Realistic delays for RAM32X1D too

5 years agoAdd RAM32X1D box info
Eddie Hung [Tue, 25 Jun 2019 05:54:35 +0000 (22:54 -0700)]
Add RAM32X1D box info

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 25 Jun 2019 16:33:11 +0000 (09:33 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoThis optimisation doesn't seem to work...
Eddie Hung [Tue, 25 Jun 2019 16:21:46 +0000 (09:21 -0700)]
This optimisation doesn't seem to work...

5 years agoAdd testcase from #335, fixed by #1130
Eddie Hung [Tue, 25 Jun 2019 15:43:58 +0000 (08:43 -0700)]
Add testcase from #335, fixed by #1130

5 years agoMerge pull request #1130 from YosysHQ/eddie/fix710
Clifford Wolf [Tue, 25 Jun 2019 15:34:44 +0000 (17:34 +0200)]
Merge pull request #1130 from YosysHQ/eddie/fix710

memory_dff: walk through more than one mux for computing read enable

5 years agoFix spacing
Eddie Hung [Tue, 25 Jun 2019 15:33:17 +0000 (08:33 -0700)]
Fix spacing

5 years agoMove only one consumer check outside of while loop
Eddie Hung [Tue, 25 Jun 2019 15:29:55 +0000 (08:29 -0700)]
Move only one consumer check outside of while loop

5 years agoMerge pull request #1129 from YosysHQ/eddie/ram32x1d
Eddie Hung [Tue, 25 Jun 2019 15:22:57 +0000 (08:22 -0700)]
Merge pull request #1129 from YosysHQ/eddie/ram32x1d

Add RAM32X1D support

5 years agoMerge pull request #1075 from YosysHQ/eddie/muxpack
Clifford Wolf [Tue, 25 Jun 2019 15:21:59 +0000 (17:21 +0200)]
Merge pull request #1075 from YosysHQ/eddie/muxpack

Add new "muxpack" command for packing chains of $mux cells

5 years agonullptr check
Eddie Hung [Tue, 25 Jun 2019 06:37:01 +0000 (23:37 -0700)]
nullptr check

5 years agonullptr check
Eddie Hung [Tue, 25 Jun 2019 06:37:01 +0000 (23:37 -0700)]
nullptr check

5 years agoRealistic delays for RAM32X1D too
Eddie Hung [Tue, 25 Jun 2019 06:05:28 +0000 (23:05 -0700)]
Realistic delays for RAM32X1D too

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Tue, 25 Jun 2019 06:04:25 +0000 (23:04 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoUse LUT delays for dist RAM delays
Eddie Hung [Tue, 25 Jun 2019 06:02:53 +0000 (23:02 -0700)]
Use LUT delays for dist RAM delays

5 years agoAdd RAM32X1D box info
Eddie Hung [Tue, 25 Jun 2019 05:54:35 +0000 (22:54 -0700)]
Add RAM32X1D box info

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Tue, 25 Jun 2019 05:48:49 +0000 (22:48 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoFix for abc_scc_break is bus
Eddie Hung [Tue, 25 Jun 2019 05:16:56 +0000 (22:16 -0700)]
Fix for abc_scc_break is bus

5 years agoMore meaningful error message
Eddie Hung [Tue, 25 Jun 2019 05:12:55 +0000 (22:12 -0700)]
More meaningful error message

5 years agoRe-enable dist RAM boxes for ECP5
Eddie Hung [Tue, 25 Jun 2019 05:12:50 +0000 (22:12 -0700)]
Re-enable dist RAM boxes for ECP5

5 years agoRevert "Re-enable dist RAM boxes for ECP5"
Eddie Hung [Tue, 25 Jun 2019 05:10:28 +0000 (22:10 -0700)]
Revert "Re-enable dist RAM boxes for ECP5"

This reverts commit ca0225fcfaa8c9c68647034351a1569464959edf.

5 years agoDo not use log_id as it strips \\, also fix scc for |wire| > 1
Eddie Hung [Tue, 25 Jun 2019 05:04:22 +0000 (22:04 -0700)]
Do not use log_id as it strips \\, also fix scc for |wire| > 1

5 years agoRe-enable dist RAM boxes for ECP5
Eddie Hung [Tue, 25 Jun 2019 04:55:54 +0000 (21:55 -0700)]
Re-enable dist RAM boxes for ECP5

5 years agoAdd Xilinx dist RAM as comb boxes
Eddie Hung [Tue, 25 Jun 2019 04:54:01 +0000 (21:54 -0700)]
Add Xilinx dist RAM as comb boxes

5 years agoFix abc9's scc breaker, also break on abc_scc_break attr
Eddie Hung [Tue, 25 Jun 2019 04:53:18 +0000 (21:53 -0700)]
Fix abc9's scc breaker, also break on abc_scc_break attr

5 years agoAdd tests/various/abc9.{v,ys} with SCC test
Eddie Hung [Tue, 25 Jun 2019 04:52:53 +0000 (21:52 -0700)]
Add tests/various/abc9.{v,ys} with SCC test

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 25 Jun 2019 03:01:43 +0000 (20:01 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoWalk through as many muxes as exist for rd_en
Eddie Hung [Tue, 25 Jun 2019 01:33:06 +0000 (18:33 -0700)]
Walk through as many muxes as exist for rd_en

5 years agoAdd test
Eddie Hung [Tue, 25 Jun 2019 01:32:58 +0000 (18:32 -0700)]
Add test

5 years agoMerge remote-tracking branch 'origin/eddie/ram32x1d' into xc7mux
Eddie Hung [Mon, 24 Jun 2019 23:39:18 +0000 (16:39 -0700)]
Merge remote-tracking branch 'origin/eddie/ram32x1d' into xc7mux

5 years agoAdd RAM32X1D support
Eddie Hung [Mon, 24 Jun 2019 23:16:50 +0000 (16:16 -0700)]
Add RAM32X1D support

5 years agoReduce MuxFx resources in mux techmapping
Eddie Hung [Mon, 24 Jun 2019 22:16:44 +0000 (15:16 -0700)]
Reduce MuxFx resources in mux techmapping

5 years agoReduce number of decomposed muxes during techmap
Eddie Hung [Mon, 24 Jun 2019 21:28:56 +0000 (14:28 -0700)]
Reduce number of decomposed muxes during techmap

5 years agoRevert "Fix techmapping muxes some more"
Eddie Hung [Mon, 24 Jun 2019 21:15:31 +0000 (14:15 -0700)]
Revert "Fix techmapping muxes some more"

This reverts commit 0aae3b4f4361db6d2c6b9c8d69df041f40519cec.

5 years agoMove comment
Eddie Hung [Mon, 24 Jun 2019 21:15:00 +0000 (14:15 -0700)]
Move comment

5 years agoFix techmapping muxes some more
Eddie Hung [Mon, 24 Jun 2019 19:50:48 +0000 (12:50 -0700)]
Fix techmapping muxes some more

5 years agoFix mux techmapping
Eddie Hung [Mon, 24 Jun 2019 19:18:17 +0000 (12:18 -0700)]
Fix mux techmapping

5 years agoModify costs for muxcover
Eddie Hung [Mon, 24 Jun 2019 18:51:55 +0000 (11:51 -0700)]
Modify costs for muxcover

5 years agoRevert Makefile
Eddie Hung [Mon, 24 Jun 2019 18:50:37 +0000 (11:50 -0700)]
Revert Makefile

5 years agoChange synth_xilinx's -nomux to -minmuxf <int>
Eddie Hung [Mon, 24 Jun 2019 17:04:01 +0000 (10:04 -0700)]
Change synth_xilinx's -nomux to -minmuxf <int>

5 years agoMerge pull request #1124 from mmicko/json_ports
Clifford Wolf [Mon, 24 Jun 2019 06:52:12 +0000 (08:52 +0200)]
Merge pull request #1124 from mmicko/json_ports

Add upto and offset to JSON ports

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Sun, 23 Jun 2019 02:44:17 +0000 (19:44 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoMerge remote-tracking branch 'origin/master' into eddie/muxpack
Eddie Hung [Sat, 22 Jun 2019 21:40:55 +0000 (14:40 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/muxpack

5 years agoAdd comments to ecp5 box
Eddie Hung [Sat, 22 Jun 2019 21:33:47 +0000 (14:33 -0700)]
Add comments to ecp5 box

5 years agoAdd comment to xc7 box
Eddie Hung [Sat, 22 Jun 2019 21:28:24 +0000 (14:28 -0700)]
Add comment to xc7 box

5 years agoFix and cleanup ice40 boxes for carry in/out
Eddie Hung [Sat, 22 Jun 2019 21:27:41 +0000 (14:27 -0700)]
Fix and cleanup ice40 boxes for carry in/out

5 years agoCarry in/out box ordering now move to end, not swap with end
Eddie Hung [Sat, 22 Jun 2019 21:18:42 +0000 (14:18 -0700)]
Carry in/out box ordering now move to end, not swap with end

5 years agoRemove DFF and RAMD box info for now
Eddie Hung [Sat, 22 Jun 2019 03:41:14 +0000 (20:41 -0700)]
Remove DFF and RAMD box info for now

5 years agoMerge branch 'master' into xaig
Eddie Hung [Sat, 22 Jun 2019 03:31:56 +0000 (20:31 -0700)]
Merge branch 'master' into xaig

5 years agoAdd 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG
Eddie Hung [Sat, 22 Jun 2019 03:30:24 +0000 (20:30 -0700)]
Add 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG

5 years agoRemove $_MUX4_ techmap rule
Eddie Hung [Sat, 22 Jun 2019 01:12:33 +0000 (18:12 -0700)]
Remove $_MUX4_ techmap rule

5 years agoFix wreduce call (!!!), tweak muxcover costs
Eddie Hung [Sat, 22 Jun 2019 01:12:07 +0000 (18:12 -0700)]
Fix wreduce call (!!!), tweak muxcover costs

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Sat, 22 Jun 2019 00:44:21 +0000 (17:44 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Sat, 22 Jun 2019 00:43:29 +0000 (17:43 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoFix CHANGELOG
Eddie Hung [Sat, 22 Jun 2019 00:39:56 +0000 (17:39 -0700)]
Fix CHANGELOG

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Sat, 22 Jun 2019 00:34:19 +0000 (17:34 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoReduce log_debug spam in parse_xaiger()
Eddie Hung [Sat, 22 Jun 2019 00:33:49 +0000 (17:33 -0700)]
Reduce log_debug spam in parse_xaiger()

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Sat, 22 Jun 2019 00:21:06 +0000 (17:21 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoDo not rename non LUT cells in abc9
Eddie Hung [Fri, 21 Jun 2019 22:46:45 +0000 (15:46 -0700)]
Do not rename non LUT cells in abc9

5 years agoReplace assert with error message
Eddie Hung [Fri, 21 Jun 2019 22:45:51 +0000 (15:45 -0700)]
Replace assert with error message

5 years agoAdd log_push()/log_pop() inside write_xaiger
Eddie Hung [Sat, 22 Jun 2019 00:16:38 +0000 (17:16 -0700)]
Add log_push()/log_pop() inside write_xaiger

5 years agoAdd log_push()/log_pop() inside write_xaiger
Eddie Hung [Sat, 22 Jun 2019 00:16:38 +0000 (17:16 -0700)]
Add log_push()/log_pop() inside write_xaiger

5 years agoMerge pull request #1108 from YosysHQ/clifford/fix1091
Eddie Hung [Sat, 22 Jun 2019 00:13:41 +0000 (17:13 -0700)]
Merge pull request #1108 from YosysHQ/clifford/fix1091

Add support for partial matches to muxcover

5 years agoConstrain wreduce only if wide mux
Eddie Hung [Sat, 22 Jun 2019 00:12:34 +0000 (17:12 -0700)]
Constrain wreduce only if wide mux

5 years agoSimplify and comment out mux_map.v
Eddie Hung [Sat, 22 Jun 2019 00:06:30 +0000 (17:06 -0700)]
Simplify and comment out mux_map.v

5 years agosynth_xilinx to now wreduce except $mux, remove extra peepopt
Eddie Hung [Fri, 21 Jun 2019 23:56:56 +0000 (16:56 -0700)]
synth_xilinx to now wreduce except $mux, remove extra peepopt

5 years agomux_map to no longer copy last value into 1'bx
Eddie Hung [Fri, 21 Jun 2019 23:55:59 +0000 (16:55 -0700)]
mux_map to no longer copy last value into 1'bx

5 years agoFix spacing
Eddie Hung [Fri, 21 Jun 2019 23:55:34 +0000 (16:55 -0700)]
Fix spacing

5 years agoFix spacing again, A_forward -> A_backward
Eddie Hung [Fri, 21 Jun 2019 23:47:07 +0000 (16:47 -0700)]
Fix spacing again, A_forward -> A_backward

5 years agoRestore wreduce to synth_xilinx, after muxcover
Eddie Hung [Fri, 21 Jun 2019 23:18:29 +0000 (16:18 -0700)]
Restore wreduce to synth_xilinx, after muxcover

5 years agoRevert B_SIGNED optimisation, since only works for Y_WIDTH==1
Eddie Hung [Fri, 21 Jun 2019 23:18:14 +0000 (16:18 -0700)]
Revert B_SIGNED optimisation, since only works for Y_WIDTH==1

5 years agoFix spacing
Eddie Hung [Fri, 21 Jun 2019 23:06:13 +0000 (16:06 -0700)]
Fix spacing

5 years agosynth_xilinx to use _ABC macro, and perform muxpack again
Eddie Hung [Fri, 21 Jun 2019 22:48:20 +0000 (15:48 -0700)]
synth_xilinx to use _ABC macro, and perform muxpack again

5 years agoAdd $__XILINX_MUXF78 to preserve entire box
Eddie Hung [Fri, 21 Jun 2019 22:47:42 +0000 (15:47 -0700)]
Add $__XILINX_MUXF78 to preserve entire box

5 years agoDo not rename non LUT cells in abc9
Eddie Hung [Fri, 21 Jun 2019 22:46:45 +0000 (15:46 -0700)]
Do not rename non LUT cells in abc9

5 years agoReplace assert with error message
Eddie Hung [Fri, 21 Jun 2019 22:45:51 +0000 (15:45 -0700)]
Replace assert with error message

5 years agoMerge remote-tracking branch 'origin/eddie/muxpack' into xc7mux_wip
Eddie Hung [Fri, 21 Jun 2019 21:54:24 +0000 (14:54 -0700)]
Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux_wip

5 years agoFix alignment
Eddie Hung [Fri, 21 Jun 2019 21:38:30 +0000 (14:38 -0700)]
Fix alignment

5 years agoMerge branch 'xc7mux' into xc7mux_wip
Eddie Hung [Fri, 21 Jun 2019 21:37:20 +0000 (14:37 -0700)]
Merge branch 'xc7mux' into xc7mux_wip