yosys.git
5 years agoMerge pull request #1050 from YosysHQ/clifford/wandwor
Clifford Wolf [Tue, 28 May 2019 15:42:16 +0000 (17:42 +0200)]
Merge pull request #1050 from YosysHQ/clifford/wandwor

Refactored wand/wor support

5 years agoMerge pull request #1048 from mmicko/fix_enable_pyosys
Clifford Wolf [Tue, 28 May 2019 14:52:40 +0000 (16:52 +0200)]
Merge pull request #1048 from mmicko/fix_enable_pyosys

Moved pyosys block in Makefile

5 years agoRefactor hierarchy wand/wor handling
Clifford Wolf [Tue, 28 May 2019 14:43:25 +0000 (16:43 +0200)]
Refactor hierarchy wand/wor handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd actual wandwor test that is part of "make test"
Clifford Wolf [Tue, 28 May 2019 14:42:50 +0000 (16:42 +0200)]
Add actual wandwor test that is part of "make test"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'wandwor' of https://github.com/thasti/yosys into clifford/wandwor
Clifford Wolf [Tue, 28 May 2019 13:45:15 +0000 (15:45 +0200)]
Merge branch 'wandwor' of https://github.com/thasti/yosys into clifford/wandwor

5 years agoRemove info line in 2nd load of conf file
Miodrag Milanovic [Tue, 28 May 2019 13:43:27 +0000 (15:43 +0200)]
Remove info line in 2nd load of conf file

5 years agoMoved pyosys block in Makefile
Miodrag Milanovic [Tue, 28 May 2019 12:53:07 +0000 (14:53 +0200)]
Moved pyosys block in Makefile

5 years agoMerge pull request #1045 from mmicko/afl-gcc-target
Clifford Wolf [Tue, 28 May 2019 12:00:28 +0000 (14:00 +0200)]
Merge pull request #1045 from mmicko/afl-gcc-target

afl-fuzzer compile config

5 years agomake config-afl-gcc to help creating conf file
Miodrag Milanovic [Mon, 27 May 2019 18:43:10 +0000 (20:43 +0200)]
make config-afl-gcc to help creating conf file

5 years agoAdded afl-gcc as target for fuzzer
Miodrag Milanovic [Mon, 27 May 2019 18:38:44 +0000 (20:38 +0200)]
Added afl-gcc as target for fuzzer

5 years agoMerge branch 'master' into wandwor
Stefan Biereigel [Mon, 27 May 2019 17:07:46 +0000 (19:07 +0200)]
Merge branch 'master' into wandwor

5 years agoreformat wand/wor test
Stefan Biereigel [Mon, 27 May 2019 16:45:54 +0000 (18:45 +0200)]
reformat wand/wor test

5 years agoremove port direction workaround from test case
Stefan Biereigel [Mon, 27 May 2019 16:10:39 +0000 (18:10 +0200)]
remove port direction workaround from test case

5 years agoupdate README.md with wand/wor information
Stefan Biereigel [Mon, 27 May 2019 16:07:12 +0000 (18:07 +0200)]
update README.md with wand/wor information

5 years agoremove leftovers from ast data structures
Stefan Biereigel [Mon, 27 May 2019 16:01:44 +0000 (18:01 +0200)]
remove leftovers from ast data structures

5 years agomove wand/wor resolution into hierarchy pass
Stefan Biereigel [Mon, 27 May 2019 16:00:22 +0000 (18:00 +0200)]
move wand/wor resolution into hierarchy pass

5 years agoMerge pull request #1044 from mmicko/invalid_width_range
Clifford Wolf [Mon, 27 May 2019 11:26:12 +0000 (13:26 +0200)]
Merge pull request #1044 from mmicko/invalid_width_range

Give error instead of asserting for invalid range, fixes #947

5 years agoMerge pull request #1043 from mmicko/unsized_constant
Clifford Wolf [Mon, 27 May 2019 11:25:52 +0000 (13:25 +0200)]
Merge pull request #1043 from mmicko/unsized_constant

Added support for unsized constants, fixes #1022

5 years agoMerge pull request #1026 from YosysHQ/clifford/fix1023
Clifford Wolf [Mon, 27 May 2019 11:24:19 +0000 (13:24 +0200)]
Merge pull request #1026 from YosysHQ/clifford/fix1023

 Keep zero-width wires in opt_clean if and only if they are ports

5 years agoMerge pull request #1030 from Kmanfi/makefile_osx
Clifford Wolf [Mon, 27 May 2019 11:22:51 +0000 (13:22 +0200)]
Merge pull request #1030 from Kmanfi/makefile_osx

OS X related Makefile fixes.

5 years agoGive error instead of asserting for invalid range, fixes #947
Miodrag Milanovic [Mon, 27 May 2019 10:25:18 +0000 (12:25 +0200)]
Give error instead of asserting for invalid range, fixes #947

5 years agoAdded support for unsized constants, fixes #1022
Miodrag Milanovic [Mon, 27 May 2019 09:42:10 +0000 (11:42 +0200)]
Added support for unsized constants, fixes #1022
Includes work from @sumit0190 and @AaronKel

5 years agoGuard all Python-api related items.
Kaj Tuomi [Mon, 27 May 2019 08:31:50 +0000 (11:31 +0300)]
Guard all Python-api related items.

5 years agoMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
Clifford Wolf [Sun, 26 May 2019 09:44:31 +0000 (11:44 +0200)]
Merge pull request #1035 from YosysHQ/eddie/opt_rmdff

opt_rmdff to work on $dffe and $_DFFE_*

5 years agoMerge pull request #1042 from mmicko/git_ignore_python
Clifford Wolf [Sun, 26 May 2019 08:40:40 +0000 (10:40 +0200)]
Merge pull request #1042 from mmicko/git_ignore_python

Add files to ignore for python build

5 years agoAdd files to ignore for python build
Miodrag Milanovic [Sun, 26 May 2019 07:31:43 +0000 (09:31 +0200)]
Add files to ignore for python build

5 years agoRevert enable check
Eddie Hung [Sat, 25 May 2019 19:55:57 +0000 (12:55 -0700)]
Revert enable check

5 years agoMerge pull request #1041 from YosysHQ/clifford/fix1040
Clifford Wolf [Sat, 25 May 2019 17:17:05 +0000 (19:17 +0200)]
Merge pull request #1041 from YosysHQ/clifford/fix1040

Fix handling of offset and upto module ports in write_blif

5 years agoFix handling of offset and upto module ports in write_blif, fixes #1040
Clifford Wolf [Sat, 25 May 2019 15:45:14 +0000 (17:45 +0200)]
Fix handling of offset and upto module ports in write_blif, fixes #1040

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix init
Eddie Hung [Sat, 25 May 2019 01:43:26 +0000 (18:43 -0700)]
Fix init

5 years agoFix typos
Eddie Hung [Sat, 25 May 2019 01:34:27 +0000 (18:34 -0700)]
Fix typos

5 years agoAdd more tests
Eddie Hung [Sat, 25 May 2019 01:33:18 +0000 (18:33 -0700)]
Add more tests

5 years agoCall proc
Eddie Hung [Sat, 25 May 2019 01:32:02 +0000 (18:32 -0700)]
Call proc

5 years agoopt_rmdff to optimise even in presence of enable signal, even removing
Eddie Hung [Sat, 25 May 2019 01:30:51 +0000 (18:30 -0700)]
opt_rmdff to optimise even in presence of enable signal, even removing

5 years agoFix duplicate driver
Eddie Hung [Sat, 25 May 2019 00:44:57 +0000 (17:44 -0700)]
Fix duplicate driver

5 years agoAdd comments
Eddie Hung [Fri, 24 May 2019 23:33:10 +0000 (16:33 -0700)]
Add comments

5 years agoResolve @cliffordwolf review, set even if !has_init
Eddie Hung [Fri, 24 May 2019 23:15:22 +0000 (16:15 -0700)]
Resolve @cliffordwolf review, set even if !has_init

5 years agoAdd proper error message for btor recursion_guard
Clifford Wolf [Fri, 24 May 2019 14:22:34 +0000 (16:22 +0200)]
Add proper error message for btor recursion_guard

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1036 from YosysHQ/eddie/xilinx_dram
Eddie Hung [Thu, 23 May 2019 20:13:10 +0000 (13:13 -0700)]
Merge pull request #1036 from YosysHQ/eddie/xilinx_dram

Add "min bits" and "min wports" to xilinx dram rules

5 years agoFix spacing
Eddie Hung [Thu, 23 May 2019 19:58:30 +0000 (12:58 -0700)]
Fix spacing

5 years agoAdd "min bits" and "min wports" to xilinx dram rules
Eddie Hung [Thu, 23 May 2019 18:32:28 +0000 (11:32 -0700)]
Add "min bits" and "min wports" to xilinx dram rules

5 years agoAdd opt_rmdff tests
Eddie Hung [Thu, 23 May 2019 18:26:38 +0000 (11:26 -0700)]
Add opt_rmdff tests

5 years agoopt_rmdff to work on $dffe and $_DFFE_*
Eddie Hung [Thu, 23 May 2019 18:26:18 +0000 (11:26 -0700)]
opt_rmdff to work on $dffe and $_DFFE_*

5 years agofix assignment of non-wires
Stefan Biereigel [Thu, 23 May 2019 15:55:56 +0000 (17:55 +0200)]
fix assignment of non-wires

5 years agoadd simple test case for wand/wor
Stefan Biereigel [Thu, 23 May 2019 11:42:42 +0000 (13:42 +0200)]
add simple test case for wand/wor

5 years agofix indentation across files
Stefan Biereigel [Thu, 23 May 2019 11:42:30 +0000 (13:42 +0200)]
fix indentation across files

5 years agoimplementation for assignments working
Stefan Biereigel [Thu, 23 May 2019 08:16:41 +0000 (10:16 +0200)]
implementation for assignments working

5 years agomake lexer/parser aware of wand/wor net types
Stefan Biereigel [Wed, 22 May 2019 12:22:42 +0000 (14:22 +0200)]
make lexer/parser aware of wand/wor net types

5 years agoMerge pull request #1031 from mdaiter/optimizeLookupTableBtor
Clifford Wolf [Thu, 23 May 2019 11:52:48 +0000 (13:52 +0200)]
Merge pull request #1031 from mdaiter/optimizeLookupTableBtor

Optimize numberOfPermutations

5 years agoOptimize numberOfPermutations
Matthew Daiter [Wed, 22 May 2019 21:14:13 +0000 (17:14 -0400)]
Optimize numberOfPermutations

5 years agoOS X related fixes.
Kaj Tuomi [Wed, 22 May 2019 19:58:12 +0000 (22:58 +0300)]
OS X related fixes.

5 years agoKeep zero-width wires in opt_clean if and only if they are ports, fixes #1023
Clifford Wolf [Wed, 22 May 2019 11:56:56 +0000 (13:56 +0200)]
Keep zero-width wires in opt_clean if and only if they are ports, fixes #1023

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix handling of warning and error messages within log_make_debug-blocks
Clifford Wolf [Wed, 22 May 2019 11:46:38 +0000 (13:46 +0200)]
Fix handling of warning and error messages within log_make_debug-blocks

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1019 from YosysHQ/clifford/fix1016
Clifford Wolf [Wed, 22 May 2019 11:29:04 +0000 (13:29 +0200)]
Merge pull request #1019 from YosysHQ/clifford/fix1016

Add "wreduce -keepdc"

5 years agoMerge pull request #1021 from ucb-bar/fixfirrtl_shr,neg
Clifford Wolf [Wed, 22 May 2019 10:01:19 +0000 (12:01 +0200)]
Merge pull request #1021 from ucb-bar/fixfirrtl_shr,neg

Fix static shift operands, neg result type, minor formatting

5 years agoMerge pull request #1024 from YosysHQ/eddie/fix_Wmissing_braces
Eddie Hung [Wed, 22 May 2019 01:20:58 +0000 (18:20 -0700)]
Merge pull request #1024 from YosysHQ/eddie/fix_Wmissing_braces

5 years agoRename label
Eddie Hung [Wed, 22 May 2019 01:20:31 +0000 (18:20 -0700)]
Rename label

5 years agoTry again
Eddie Hung [Wed, 22 May 2019 00:20:19 +0000 (17:20 -0700)]
Try again

5 years agoFix warning
Eddie Hung [Tue, 21 May 2019 23:26:20 +0000 (16:26 -0700)]
Fix warning

5 years agoFix static shift operands, neg result type, minor formatting
Jim Lawson [Tue, 21 May 2019 20:04:56 +0000 (13:04 -0700)]
Fix static shift operands, neg result type, minor formatting
Static shift operands must be constants.
The result of FIRRTL's neg operator is signed.
Fix poor indentation for gen_read().

5 years agoMerge remote-tracking branch 'upstream/master'
Jim Lawson [Tue, 21 May 2019 19:47:55 +0000 (12:47 -0700)]
Merge remote-tracking branch 'upstream/master'

5 years agoAdd "wreduce -keepdc", fixes #1016
Clifford Wolf [Mon, 20 May 2019 13:36:13 +0000 (15:36 +0200)]
Add "wreduce -keepdc", fixes #1016

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1017 from Kmanfi/bigger_verilog_files
Clifford Wolf [Sat, 18 May 2019 14:54:47 +0000 (16:54 +0200)]
Merge pull request #1017 from Kmanfi/bigger_verilog_files

Read bigger Verilog files.

5 years agoRead bigger Verilog files.
Kaj Tuomi [Sat, 18 May 2019 11:20:30 +0000 (14:20 +0300)]
Read bigger Verilog files.

Hit parser limit with 3M gate design. This commit fix it.

5 years agoMerge pull request #1013 from antmicro/parameter_attributes
Clifford Wolf [Thu, 16 May 2019 12:21:18 +0000 (14:21 +0200)]
Merge pull request #1013 from antmicro/parameter_attributes

Support for attributes on parameters and localparams for Verilog frontend

5 years agoAdded tests for Verilog frontent for attributes on parameters and localparams
Maciej Kurc [Thu, 16 May 2019 10:53:43 +0000 (12:53 +0200)]
Added tests for Verilog frontent for attributes on parameters and localparams

Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
5 years agoAdded support for parsing attributes on parameters in Verilog frontent. Content of...
Maciej Kurc [Thu, 16 May 2019 10:44:16 +0000 (12:44 +0200)]
Added support for parsing attributes on parameters in Verilog frontent. Content of those attributes is ignored.

Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
5 years agoMerge pull request #1012 from YosysHQ/clifford/sigspecrw
Clifford Wolf [Wed, 15 May 2019 19:00:56 +0000 (21:00 +0200)]
Merge pull request #1012 from YosysHQ/clifford/sigspecrw

Another rounds of opt_clean improvements

5 years agoImprovements in opt_clean
Clifford Wolf [Wed, 15 May 2019 14:01:28 +0000 (16:01 +0200)]
Improvements in opt_clean

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd rewrite_sigspecs2, Improve remove() wires
Clifford Wolf [Wed, 15 May 2019 14:01:00 +0000 (16:01 +0200)]
Add rewrite_sigspecs2, Improve remove() wires

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDo not leak file descriptors in cover.cc
Clifford Wolf [Wed, 15 May 2019 11:51:02 +0000 (13:51 +0200)]
Do not leak file descriptors in cover.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1011 from hzeller/fix-constructing-string-from-int
Clifford Wolf [Wed, 15 May 2019 11:35:52 +0000 (13:35 +0200)]
Merge pull request #1011 from hzeller/fix-constructing-string-from-int

Fix two instances of integer-assignment to string.

5 years agoMerge pull request #1010 from hzeller/yacc-self-contained
Clifford Wolf [Wed, 15 May 2019 11:29:55 +0000 (13:29 +0200)]
Merge pull request #1010 from hzeller/yacc-self-contained

Make the generated *.tab.hh include all the headers needed

5 years agoMerge pull request #1008 from thasti/fix_libyosys_build
Clifford Wolf [Wed, 15 May 2019 11:28:52 +0000 (13:28 +0200)]
Merge pull request #1008 from thasti/fix_libyosys_build

Create $(LIBDIR) to fix broken build in isolated environments

5 years agoMerge pull request #1005 from smunaut/ice40_hfosc_trim
David Shah [Wed, 15 May 2019 07:20:50 +0000 (08:20 +0100)]
Merge pull request #1005 from smunaut/ice40_hfosc_trim

ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC

5 years agoFix two instances of integer-assignment to string.
Henner Zeller [Wed, 15 May 2019 05:01:15 +0000 (22:01 -0700)]
Fix two instances of integer-assignment to string.

o In cover.cc, the int-result of mkstemps() was assigned to a string
  and silently interpreted as a single-character filename with a funny
  value. Fix with the intent: assign the filename.
o in libparse.cc, an int was assigned to a string, but depending on
  visible constructors, this is ambiguous. Explicitly cast this to
  a char.

5 years agoMake the generated *.tab.hh include all the headers needed to define the union.
Henner Zeller [Wed, 15 May 2019 04:07:26 +0000 (21:07 -0700)]
Make the generated *.tab.hh include all the headers needed to define the union.

5 years agoextract python prefix to allow overriding
Stefan Biereigel [Tue, 14 May 2019 13:28:03 +0000 (15:28 +0200)]
extract python prefix to allow overriding

5 years agoremove ldconfig call
Stefan Biereigel [Tue, 14 May 2019 12:49:40 +0000 (14:49 +0200)]
remove ldconfig call

5 years agoadd mkdir for libyosys target, explicitly copy to target folder
Stefan Biereigel [Tue, 14 May 2019 12:36:31 +0000 (14:36 +0200)]
add mkdir for libyosys target, explicitly copy to target folder

5 years agobugpoint: check for -script option.
whitequark [Mon, 13 May 2019 16:55:15 +0000 (16:55 +0000)]
bugpoint: check for -script option.

Fixes #925.

5 years agoice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
Sylvain Munaut [Mon, 13 May 2019 10:51:06 +0000 (12:51 +0200)]
ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agoMerge pull request #1004 from YosysHQ/clifford/fix1002
Clifford Wolf [Sun, 12 May 2019 13:33:53 +0000 (15:33 +0200)]
Merge pull request #1004 from YosysHQ/clifford/fix1002

Fix handling of glob_abort_cnt in opt_muxtree

5 years agoFix handling of glob_abort_cnt in opt_muxtree, fixes #1002
Clifford Wolf [Sun, 12 May 2019 11:51:12 +0000 (13:51 +0200)]
Fix handling of glob_abort_cnt in opt_muxtree, fixes #1002

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1003 from makaimann/zinit-all
Clifford Wolf [Sat, 11 May 2019 11:56:51 +0000 (13:56 +0200)]
Merge pull request #1003 from makaimann/zinit-all

Zinit option '-singleton' -> '-all'

5 years agoAdd "fmcombine -initeq -anyeq"
Clifford Wolf [Sat, 11 May 2019 07:28:55 +0000 (09:28 +0200)]
Add "fmcombine -initeq -anyeq"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "stat -tech xilinx"
Clifford Wolf [Sat, 11 May 2019 07:24:52 +0000 (09:24 +0200)]
Add "stat -tech xilinx"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoZinit option '-singleton' -> '-all'
Makai Mann [Fri, 10 May 2019 17:23:14 +0000 (10:23 -0700)]
Zinit option '-singleton' -> '-all'

5 years agoMerge pull request #1000 from bwidawsk/synth-format
Clifford Wolf [Thu, 9 May 2019 16:41:38 +0000 (18:41 +0200)]
Merge pull request #1000 from bwidawsk/synth-format

Add clang format, and use on intel_synth (v2)

5 years agoFix formatting for synth_intel.cc
Ben Widawsky [Sat, 4 May 2019 17:36:06 +0000 (10:36 -0700)]
Fix formatting for synth_intel.cc

This is realized through the recently added .clang-format file.

Signed-off-by: Ben Widawsky <ben@bwidawsk.net>
5 years agoAdd a .clang-format
Ben Widawsky [Sat, 4 May 2019 05:07:05 +0000 (22:07 -0700)]
Add a .clang-format

Signed-off-by: Ben Widawsky <ben@bwidawsk.net>
5 years agoAdd $stop to documentation
Clifford Wolf [Thu, 9 May 2019 13:31:40 +0000 (15:31 +0200)]
Add $stop to documentation

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRemove added newline (by re-running minisat 00_UPDATE.sh)
Clifford Wolf [Wed, 8 May 2019 09:26:58 +0000 (11:26 +0200)]
Remove added newline (by re-running minisat 00_UPDATE.sh)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #991 from kristofferkoch/gcc9-warnings
Clifford Wolf [Wed, 8 May 2019 09:25:22 +0000 (11:25 +0200)]
Merge pull request #991 from kristofferkoch/gcc9-warnings

Fix all warnings that occurred when compiling with gcc9

5 years agoFix all warnings that occurred when compiling with gcc9
Kristoffer Ellersgaard Koch [Sun, 5 May 2019 08:00:27 +0000 (10:00 +0200)]
Fix all warnings that occurred when compiling with gcc9

5 years agoMerge pull request #998 from mdaiter/get_bool_attribute_opts
Clifford Wolf [Wed, 8 May 2019 06:34:35 +0000 (08:34 +0200)]
Merge pull request #998 from mdaiter/get_bool_attribute_opts

Minor optimization to get_attribute_bool

5 years agoMinor optimization to get_attribute_bool
Matthew Daiter [Wed, 8 May 2019 03:04:28 +0000 (22:04 -0500)]
Minor optimization to get_attribute_bool

5 years agoAdd test case from #997
Clifford Wolf [Tue, 7 May 2019 17:58:04 +0000 (19:58 +0200)]
Add test case from #997

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix handling of partial init attributes in write_verilog, fixes #997
Clifford Wolf [Tue, 7 May 2019 17:55:36 +0000 (19:55 +0200)]
Fix handling of partial init attributes in write_verilog, fixes #997

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #996 from mdaiter/ceil_log2_opts
Clifford Wolf [Tue, 7 May 2019 17:46:27 +0000 (19:46 +0200)]
Merge pull request #996 from mdaiter/ceil_log2_opts

Optimize ceil_log2 function