yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Wed, 21 Aug 2019 18:39:14 +0000 (11:39 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoOutput "h" extension only if boxes
Eddie Hung [Wed, 21 Aug 2019 18:31:18 +0000 (11:31 -0700)]
Output "h" extension only if boxes

5 years agoRevert "Fix omode which inserts an output if none exists (otherwise abc9 breaks)"
Eddie Hung [Wed, 21 Aug 2019 18:29:40 +0000 (11:29 -0700)]
Revert "Fix omode which inserts an output if none exists (otherwise abc9 breaks)"

This reverts commit 8182cb9d91555d5be52abbfeeb5d22af05342d8a.

5 years agoAdd abc_arrival to SRL*
Eddie Hung [Wed, 21 Aug 2019 18:27:42 +0000 (11:27 -0700)]
Add abc_arrival to SRL*

5 years agoFix omode which inserts an output if none exists (otherwise abc9 breaks)
Eddie Hung [Wed, 21 Aug 2019 04:30:16 +0000 (21:30 -0700)]
Fix omode which inserts an output if none exists (otherwise abc9 breaks)

5 years agoRevert "Only xaig if GetSize(output_bits) > 0"
Eddie Hung [Wed, 21 Aug 2019 04:22:38 +0000 (21:22 -0700)]
Revert "Only xaig if GetSize(output_bits) > 0"

This reverts commit 7b646101e936cacd20938c20ddfbaa63ee268fb2.

5 years agoOnly xaig if GetSize(output_bits) > 0
Eddie Hung [Wed, 21 Aug 2019 03:57:13 +0000 (20:57 -0700)]
Only xaig if GetSize(output_bits) > 0

5 years agoMissing newline
Eddie Hung [Wed, 21 Aug 2019 03:37:52 +0000 (20:37 -0700)]
Missing newline

5 years agoFix copy-paste typo
Eddie Hung [Wed, 21 Aug 2019 03:18:51 +0000 (20:18 -0700)]
Fix copy-paste typo

5 years agoOops
Eddie Hung [Wed, 21 Aug 2019 03:07:38 +0000 (20:07 -0700)]
Oops

5 years agoMerge branch 'eddie/fix_techmap' into xaig_arrival
Eddie Hung [Wed, 21 Aug 2019 03:06:47 +0000 (20:06 -0700)]
Merge branch 'eddie/fix_techmap' into xaig_arrival

5 years agoGrammar
Eddie Hung [Wed, 21 Aug 2019 03:05:51 +0000 (20:05 -0700)]
Grammar

5 years agoAdd test
Eddie Hung [Wed, 21 Aug 2019 03:05:16 +0000 (20:05 -0700)]
Add test

5 years agotechmap -max_iter to apply to each module individually
Eddie Hung [Wed, 21 Aug 2019 02:48:16 +0000 (19:48 -0700)]
techmap -max_iter to apply to each module individually

5 years agotechmap -max_iter to apply to each module individually
Eddie Hung [Wed, 21 Aug 2019 02:48:16 +0000 (19:48 -0700)]
techmap -max_iter to apply to each module individually

5 years agoxilinx to use abc_map.v with -max_iter 1
Eddie Hung [Wed, 21 Aug 2019 02:47:11 +0000 (19:47 -0700)]
xilinx to use abc_map.v with -max_iter 1

5 years agoecp5: remove DPR16X4 from abc_unmap.v
Eddie Hung [Wed, 21 Aug 2019 02:20:17 +0000 (19:20 -0700)]
ecp5: remove DPR16X4 from abc_unmap.v

5 years agoecp5 to use -max_iter 1
Eddie Hung [Wed, 21 Aug 2019 02:18:36 +0000 (19:18 -0700)]
ecp5 to use -max_iter 1

5 years agoecp5 to use abc_map.v and _unmap.v
Eddie Hung [Wed, 21 Aug 2019 01:59:03 +0000 (18:59 -0700)]
ecp5 to use abc_map.v and _unmap.v

5 years agoAdd (* abc_arrival=<int> *) doc
Eddie Hung [Wed, 21 Aug 2019 01:27:16 +0000 (18:27 -0700)]
Add (* abc_arrival=<int> *) doc

5 years agoAdd reference to FD* timing
Eddie Hung [Wed, 21 Aug 2019 01:22:58 +0000 (18:22 -0700)]
Add reference to FD* timing

5 years agoRemove sequential extension
Eddie Hung [Wed, 21 Aug 2019 01:16:37 +0000 (18:16 -0700)]
Remove sequential extension

5 years agoRemove SRL* delays from cells_sim.v
Eddie Hung [Wed, 21 Aug 2019 01:14:40 +0000 (18:14 -0700)]
Remove SRL* delays from cells_sim.v

5 years agoretime_mode -> dff_mode
Eddie Hung [Wed, 21 Aug 2019 01:08:58 +0000 (18:08 -0700)]
retime_mode -> dff_mode

5 years agoLUTMUX -> LUTMUX6
Eddie Hung [Wed, 21 Aug 2019 01:08:07 +0000 (18:08 -0700)]
LUTMUX -> LUTMUX6

5 years agoCleanup techmap in map_luts
Eddie Hung [Wed, 21 Aug 2019 00:59:31 +0000 (17:59 -0700)]
Cleanup techmap in map_luts

5 years agoMove `techmap abc_map.v` into map_luts
Eddie Hung [Wed, 21 Aug 2019 00:55:12 +0000 (17:55 -0700)]
Move `techmap abc_map.v` into map_luts

5 years agoRemove delays from abc_map.v
Eddie Hung [Wed, 21 Aug 2019 00:52:27 +0000 (17:52 -0700)]
Remove delays from abc_map.v

5 years agoTypo
Eddie Hung [Wed, 21 Aug 2019 00:51:50 +0000 (17:51 -0700)]
Typo

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Wed, 21 Aug 2019 00:36:14 +0000 (17:36 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoDo not sigmap!
Eddie Hung [Tue, 20 Aug 2019 22:23:26 +0000 (15:23 -0700)]
Do not sigmap!

5 years agoDeprecate `abc_scc_break` attribute
Eddie Hung [Tue, 20 Aug 2019 22:10:01 +0000 (15:10 -0700)]
Deprecate `abc_scc_break` attribute

5 years agoWrap SRL{16,32} too
Eddie Hung [Tue, 20 Aug 2019 22:09:38 +0000 (15:09 -0700)]
Wrap SRL{16,32} too

5 years agoWrap LUTRAMs in order to capture comb/seq behaviour
Eddie Hung [Tue, 20 Aug 2019 21:49:11 +0000 (14:49 -0700)]
Wrap LUTRAMs in order to capture comb/seq behaviour

5 years agoMinor refactor
Eddie Hung [Tue, 20 Aug 2019 21:47:58 +0000 (14:47 -0700)]
Minor refactor

5 years agoAdd LUTRAM delays
Eddie Hung [Tue, 20 Aug 2019 20:53:38 +0000 (13:53 -0700)]
Add LUTRAM delays

5 years agoFix use of {CLK,EN}_POLARITY, also add a FIXME
Eddie Hung [Tue, 20 Aug 2019 20:33:31 +0000 (13:33 -0700)]
Fix use of {CLK,EN}_POLARITY, also add a FIXME

5 years agoRemove mapping rules
Eddie Hung [Tue, 20 Aug 2019 20:11:39 +0000 (13:11 -0700)]
Remove mapping rules

5 years agoMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
Eddie Hung [Tue, 20 Aug 2019 19:55:26 +0000 (12:55 -0700)]
Merge pull request #1209 from YosysHQ/eddie/synth_xilinx

[WIP] synth xilinx renaming, as per #1184

5 years agoRemove -icells
Eddie Hung [Tue, 20 Aug 2019 19:41:11 +0000 (12:41 -0700)]
Remove -icells

5 years agoUse abc_{map,unmap,model}.v
Eddie Hung [Tue, 20 Aug 2019 19:39:11 +0000 (12:39 -0700)]
Use abc_{map,unmap,model}.v

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Tue, 20 Aug 2019 19:00:12 +0000 (12:00 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
Eddie Hung [Tue, 20 Aug 2019 18:59:31 +0000 (11:59 -0700)]
Merge pull request #1304 from YosysHQ/eddie/abc9_refactor

Refactor abc9 to use port attributes, not module attributes

5 years agoMerge remote-tracking branch 'origin/master' into eddie/synth_xilinx
Eddie Hung [Tue, 20 Aug 2019 18:57:52 +0000 (11:57 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx

5 years agoMerge pull request #1298 from YosysHQ/clifford/pmgen
Clifford Wolf [Tue, 20 Aug 2019 09:39:42 +0000 (11:39 +0200)]
Merge pull request #1298 from YosysHQ/clifford/pmgen

Improvements in pmgen

5 years agoMerge branch 'master' into clifford/pmgen
Clifford Wolf [Tue, 20 Aug 2019 09:39:23 +0000 (11:39 +0200)]
Merge branch 'master' into clifford/pmgen

5 years agoAdd test case for real parameters
Clifford Wolf [Tue, 20 Aug 2019 09:38:21 +0000 (11:38 +0200)]
Add test case for real parameters

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1308 from jakobwenzel/real_params
Clifford Wolf [Tue, 20 Aug 2019 09:37:26 +0000 (11:37 +0200)]
Merge pull request #1308 from jakobwenzel/real_params

Handle real values when deriving ast modules

5 years agoMerge pull request #1309 from whitequark/proc_clean-fix-1268
whitequark [Tue, 20 Aug 2019 00:45:41 +0000 (00:45 +0000)]
Merge pull request #1309 from whitequark/proc_clean-fix-1268

proc_clean: fix order of switch insertion

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 19 Aug 2019 22:19:32 +0000 (15:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoAdd arrival times for SRL outputs
Eddie Hung [Mon, 19 Aug 2019 22:15:43 +0000 (15:15 -0700)]
Add arrival times for SRL outputs

5 years agoOutput i/o/h extensions even if no boxes or flops
Eddie Hung [Mon, 19 Aug 2019 20:17:31 +0000 (13:17 -0700)]
Output i/o/h extensions even if no boxes or flops

5 years agoAdd BRAM arrival times
Eddie Hung [Mon, 19 Aug 2019 19:46:35 +0000 (12:46 -0700)]
Add BRAM arrival times

5 years agoRemove debug
Eddie Hung [Mon, 19 Aug 2019 19:44:43 +0000 (12:44 -0700)]
Remove debug

5 years agoAdd reference to source of Tclktoq timing
Eddie Hung [Mon, 19 Aug 2019 19:39:22 +0000 (12:39 -0700)]
Add reference to source of Tclktoq timing

5 years agoAdd (* abc_arrival *) attribute
Eddie Hung [Mon, 19 Aug 2019 19:33:24 +0000 (12:33 -0700)]
Add (* abc_arrival *) attribute

5 years ago Add 'abc_arrival' attribute for flop outputs
Eddie Hung [Mon, 19 Aug 2019 18:32:18 +0000 (11:32 -0700)]
 Add 'abc_arrival' attribute for flop outputs

5 years agoUpdate box timings
Eddie Hung [Mon, 19 Aug 2019 18:31:40 +0000 (11:31 -0700)]
Update box timings

5 years agoMove from cell attr to module attr
Eddie Hung [Mon, 19 Aug 2019 18:18:33 +0000 (11:18 -0700)]
Move from cell attr to module attr

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:42:00 +0000 (10:42 -0700)]
Fix typo

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:41:18 +0000 (10:41 -0700)]
Fix typo

5 years agoID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc
Eddie Hung [Mon, 19 Aug 2019 17:11:47 +0000 (10:11 -0700)]
ID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 19 Aug 2019 17:07:27 +0000 (10:07 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoClarify with 'only'
Eddie Hung [Mon, 19 Aug 2019 17:00:53 +0000 (10:00 -0700)]
Clarify with 'only'

5 years agoUpdate doc
Eddie Hung [Mon, 19 Aug 2019 16:59:57 +0000 (09:59 -0700)]
Update doc

5 years agoUnify abc_carry_{in,out} into abc_carry and use port dir, as @mithro
Eddie Hung [Mon, 19 Aug 2019 16:56:17 +0000 (09:56 -0700)]
Unify abc_carry_{in,out} into abc_carry and use port dir, as @mithro

5 years agoUse attributes instead of params
Eddie Hung [Mon, 19 Aug 2019 16:51:49 +0000 (09:51 -0700)]
Use attributes instead of params

5 years agoproc_clean: fix order of switch insertion.
whitequark [Mon, 19 Aug 2019 16:44:23 +0000 (16:44 +0000)]
proc_clean: fix order of switch insertion.

Fixes #1268.

5 years agoSet abc_flop and use it in toposort
Eddie Hung [Mon, 19 Aug 2019 16:40:01 +0000 (09:40 -0700)]
Set abc_flop and use it in toposort

5 years agoUse %d
Eddie Hung [Mon, 19 Aug 2019 16:16:20 +0000 (09:16 -0700)]
Use %d

5 years agohandle real values when deriving ast modules
Jakob Wenzel [Mon, 19 Aug 2019 12:17:36 +0000 (14:17 +0200)]
handle real values when deriving ast modules

5 years agoMerge pull request #1306 from mmicko/gitignore_fix
Clifford Wolf [Mon, 19 Aug 2019 11:09:12 +0000 (13:09 +0200)]
Merge pull request #1306 from mmicko/gitignore_fix

Ignore all generated headers for pmgen pass

5 years agoAdd *.sv to tests/simple_abc9/.gitignore
Clifford Wolf [Mon, 19 Aug 2019 11:04:57 +0000 (13:04 +0200)]
Add *.sv to tests/simple_abc9/.gitignore

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'master' of github.com:YosysHQ/yosys into clifford/pmgen
Clifford Wolf [Mon, 19 Aug 2019 11:04:06 +0000 (13:04 +0200)]
Merge branch 'master' of github.com:YosysHQ/yosys into clifford/pmgen

5 years agoMerge pull request #1305 from YosysHQ/clifford/testfast
Clifford Wolf [Mon, 19 Aug 2019 10:58:09 +0000 (12:58 +0200)]
Merge pull request #1305 from YosysHQ/clifford/testfast

Speed up "make test" and related cleanups

5 years agoMerge remote-tracking branch 'origin/master' into clifford/testfast
Eddie Hung [Mon, 19 Aug 2019 04:29:15 +0000 (21:29 -0700)]
Merge remote-tracking branch 'origin/master' into clifford/testfast

5 years agoRemoval of more `stat` calls from tests
Eddie Hung [Mon, 19 Aug 2019 04:28:45 +0000 (21:28 -0700)]
Removal of more `stat` calls from tests

5 years agoIgnore all generated headers for pmgen pass
Miodrag Milanovic [Sun, 18 Aug 2019 08:49:17 +0000 (10:49 +0200)]
Ignore all generated headers for pmgen pass

5 years agoMerge pull request #1290 from YosysHQ/eddie/pr1266_again
whitequark [Sun, 18 Aug 2019 08:04:26 +0000 (08:04 +0000)]
Merge pull request #1290 from YosysHQ/eddie/pr1266_again

Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER (retry)

5 years agoMerge branch 'master' into eddie/pr1266_again
whitequark [Sun, 18 Aug 2019 08:04:10 +0000 (08:04 +0000)]
Merge branch 'master' into eddie/pr1266_again

5 years agoMerge pull request #1283 from YosysHQ/clifford/fix1255
Clifford Wolf [Sat, 17 Aug 2019 13:07:16 +0000 (15:07 +0200)]
Merge pull request #1283 from YosysHQ/clifford/fix1255

Fix various NDEBUG compiler warnings

5 years agoMerge pull request #1303 from YosysHQ/bogdanvuk/opt_share
Clifford Wolf [Sat, 17 Aug 2019 13:03:46 +0000 (15:03 +0200)]
Merge pull request #1303 from YosysHQ/bogdanvuk/opt_share

Implement opt_share from @bogdanvuk

5 years agoMerge pull request #1300 from YosysHQ/eddie/cleanup2
Clifford Wolf [Sat, 17 Aug 2019 13:01:31 +0000 (15:01 +0200)]
Merge pull request #1300 from YosysHQ/eddie/cleanup2

Use ID::{A,B,Y,keep,blackbox,whitebox} instead of ID()

5 years agoFix erroneous ifndef-NDEBUG in verific.cc
Clifford Wolf [Sat, 17 Aug 2019 12:47:02 +0000 (14:47 +0200)]
Fix erroneous ifndef-NDEBUG in verific.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSpeed up "make test" and related cleanups
Clifford Wolf [Sat, 17 Aug 2019 12:37:07 +0000 (14:37 +0200)]
Speed up "make test" and related cleanups

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd test for pmtest_test "reduce" demo pattern
Clifford Wolf [Sat, 17 Aug 2019 12:05:10 +0000 (14:05 +0200)]
Add test for pmtest_test "reduce" demo pattern

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRefactor pmgen rollback mechanism
Clifford Wolf [Sat, 17 Aug 2019 11:54:18 +0000 (13:54 +0200)]
Refactor pmgen rollback mechanism

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprovements in "test_pmgen -generate"
Clifford Wolf [Sat, 17 Aug 2019 11:53:55 +0000 (13:53 +0200)]
Improvements in "test_pmgen -generate"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd pmgen "fallthrough" statement
Clifford Wolf [Sat, 17 Aug 2019 09:29:37 +0000 (11:29 +0200)]
Add pmgen "fallthrough" statement

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'eddie/abc9_refactor' into xaig_dff
Eddie Hung [Fri, 16 Aug 2019 23:51:22 +0000 (16:51 -0700)]
Merge branch 'eddie/abc9_refactor' into xaig_dff

5 years agoUse ID()
Eddie Hung [Fri, 16 Aug 2019 23:38:49 +0000 (16:38 -0700)]
Use ID()

5 years agoAdd doc for abc_* attributes
Eddie Hung [Fri, 16 Aug 2019 23:07:29 +0000 (16:07 -0700)]
Add doc for abc_* attributes

5 years agoUpdate abc_* attr in ecp5 and ice40
Eddie Hung [Fri, 16 Aug 2019 22:56:57 +0000 (15:56 -0700)]
Update abc_* attr in ecp5 and ice40

5 years agoCompute abc_scc_break and move CI/CO outside of each abc9
Eddie Hung [Fri, 16 Aug 2019 22:41:17 +0000 (15:41 -0700)]
Compute abc_scc_break and move CI/CO outside of each abc9

5 years agoAttach abc_scc_break, abc_carry_{in,out} attr to ports not modules
Eddie Hung [Fri, 16 Aug 2019 22:40:53 +0000 (15:40 -0700)]
Attach abc_scc_break, abc_carry_{in,out} attr to ports not modules

5 years agoMerge pull request #1250 from bwidawsk/master
Eddie Hung [Fri, 16 Aug 2019 21:07:09 +0000 (14:07 -0700)]
Merge pull request #1250 from bwidawsk/master

techlibs/intel: Clean up Makefile

5 years agoUse ID() macro
Eddie Hung [Fri, 16 Aug 2019 21:01:55 +0000 (14:01 -0700)]
Use ID() macro

5 years agoAdd 'opt_share' to CHANGELOG
Eddie Hung [Fri, 16 Aug 2019 20:47:51 +0000 (13:47 -0700)]
Add 'opt_share' to CHANGELOG

5 years agoAdd 'opt_share' to 'opt -full'
Eddie Hung [Fri, 16 Aug 2019 20:47:37 +0000 (13:47 -0700)]
Add 'opt_share' to 'opt -full'

5 years agoMerge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share
Eddie Hung [Fri, 16 Aug 2019 20:40:29 +0000 (13:40 -0700)]
Merge https://github.com/bogdanvuk/yosys into bogdanvuk/opt_share