yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 27 Jun 2019 19:53:23 +0000 (12:53 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #1139 from YosysHQ/dave/check-sim-iverilog
Eddie Hung [Thu, 27 Jun 2019 19:31:15 +0000 (12:31 -0700)]
Merge pull request #1139 from YosysHQ/dave/check-sim-iverilog

tests: Check that Icarus can parse arch sim models

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 27 Jun 2019 18:54:34 +0000 (11:54 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoGrr
Eddie Hung [Thu, 27 Jun 2019 18:53:42 +0000 (11:53 -0700)]
Grr

5 years agoCapitalisation
Eddie Hung [Thu, 27 Jun 2019 18:26:44 +0000 (11:26 -0700)]
Capitalisation

5 years agoMake CHANGELOG clearer
Eddie Hung [Thu, 27 Jun 2019 18:25:57 +0000 (11:25 -0700)]
Make CHANGELOG clearer

5 years agoMerge pull request #1143 from YosysHQ/clifford/fix1135
Eddie Hung [Thu, 27 Jun 2019 18:48:48 +0000 (11:48 -0700)]
Merge pull request #1143 from YosysHQ/clifford/fix1135

Add "pmux2shiftx -norange"

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 27 Jun 2019 18:31:19 +0000 (11:31 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoAdd warning if synth_xilinx -abc9 with family != xc7
Eddie Hung [Thu, 27 Jun 2019 18:22:49 +0000 (11:22 -0700)]
Add warning if synth_xilinx -abc9 with family != xc7

5 years agoRemove unneeded include
Eddie Hung [Thu, 27 Jun 2019 18:20:40 +0000 (11:20 -0700)]
Remove unneeded include

5 years agoMerge origin/master
Eddie Hung [Thu, 27 Jun 2019 18:20:15 +0000 (11:20 -0700)]
Merge origin/master

5 years agoAdd simcells.v, simlib.v, and some output
Eddie Hung [Thu, 27 Jun 2019 18:13:49 +0000 (11:13 -0700)]
Add simcells.v, simlib.v, and some output

5 years agoAdd #1135 testcase
Eddie Hung [Thu, 27 Jun 2019 18:02:52 +0000 (11:02 -0700)]
Add #1135 testcase

5 years agosynth_xilinx -arch -> -family, consistent with older synth_intel
Eddie Hung [Thu, 27 Jun 2019 14:24:47 +0000 (07:24 -0700)]
synth_xilinx -arch -> -family, consistent with older synth_intel

5 years agoMerge pull request #1142 from YosysHQ/clifford/fix1132
Eddie Hung [Thu, 27 Jun 2019 14:21:31 +0000 (07:21 -0700)]
Merge pull request #1142 from YosysHQ/clifford/fix1132

Fix handling of partial covers in muxcover

5 years agoMerge pull request #1138 from YosysHQ/koriakin/xc7nocarrymux
Eddie Hung [Thu, 27 Jun 2019 13:04:56 +0000 (06:04 -0700)]
Merge pull request #1138 from YosysHQ/koriakin/xc7nocarrymux

synth_xilinx: Add -nocarry and -nowidelut options

5 years agoCopy tests from eddie/fix1132
Eddie Hung [Thu, 27 Jun 2019 13:01:50 +0000 (06:01 -0700)]
Copy tests from eddie/fix1132

5 years agoAdd "pmux2shiftx -norange", fixes #1135
Clifford Wolf [Thu, 27 Jun 2019 08:59:12 +0000 (10:59 +0200)]
Add "pmux2shiftx -norange", fixes #1135

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix handling of partial covers in muxcover, fixes #1132
Clifford Wolf [Thu, 27 Jun 2019 07:42:49 +0000 (09:42 +0200)]
Fix handling of partial covers in muxcover, fixes #1132

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix spacing
Eddie Hung [Thu, 27 Jun 2019 03:03:34 +0000 (20:03 -0700)]
Fix spacing

5 years agoImprove debugging message for comb loops
Eddie Hung [Thu, 27 Jun 2019 03:02:38 +0000 (20:02 -0700)]
Improve debugging message for comb loops

5 years agoAdd WE to ECP5 dist RAM's abc_scc_break too
Eddie Hung [Thu, 27 Jun 2019 03:02:19 +0000 (20:02 -0700)]
Add WE to ECP5 dist RAM's abc_scc_break too

5 years agoUpdate comment on boxes
Eddie Hung [Thu, 27 Jun 2019 03:00:15 +0000 (20:00 -0700)]
Update comment on boxes

5 years agoAdd "WE" to dist RAM's abc_scc_break
Eddie Hung [Thu, 27 Jun 2019 02:58:09 +0000 (19:58 -0700)]
Add "WE" to dist RAM's abc_scc_break

5 years agoSupport more than one port in the abc_scc_break attr
Eddie Hung [Thu, 27 Jun 2019 02:57:54 +0000 (19:57 -0700)]
Support more than one port in the abc_scc_break attr

5 years agoAdd write_xaiger into CHANGELOG
Eddie Hung [Thu, 27 Jun 2019 02:17:11 +0000 (19:17 -0700)]
Add write_xaiger into CHANGELOG

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:47:53 +0000 (10:47 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoGrrr
Eddie Hung [Wed, 26 Jun 2019 17:47:03 +0000 (10:47 -0700)]
Grrr

5 years agotests: Check that Icarus can parse arch sim models
David Shah [Wed, 26 Jun 2019 17:17:52 +0000 (18:17 +0100)]
tests: Check that Icarus can parse arch sim models

Signed-off-by: David Shah <dave@ds0.me>
5 years agoRemove unused var
Eddie Hung [Wed, 26 Jun 2019 17:33:07 +0000 (10:33 -0700)]
Remove unused var

5 years agoAdd _nowide variants of LUT libraries in -nowidelut flows
Eddie Hung [Wed, 26 Jun 2019 17:23:29 +0000 (10:23 -0700)]
Add _nowide variants of LUT libraries in -nowidelut flows

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Wed, 26 Jun 2019 17:10:16 +0000 (10:10 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:09:59 +0000 (10:09 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoFix spacing
Eddie Hung [Wed, 26 Jun 2019 17:09:18 +0000 (10:09 -0700)]
Fix spacing

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:08:40 +0000 (10:08 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoOops. Actually use nocarry flag as spotted by @koriakin
Eddie Hung [Wed, 26 Jun 2019 17:06:33 +0000 (10:06 -0700)]
Oops. Actually use nocarry flag as spotted by @koriakin

5 years agoMerge pull request #1137 from mmicko/cell_sim_fix
Clifford Wolf [Wed, 26 Jun 2019 17:06:10 +0000 (19:06 +0200)]
Merge pull request #1137 from mmicko/cell_sim_fix

Simulation model verilog fix

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:04:01 +0000 (10:04 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoSimulation model verilog fix
Miodrag Milanovic [Wed, 26 Jun 2019 16:34:34 +0000 (18:34 +0200)]
Simulation model verilog fix

5 years agosynth_ecp5 rename -nomux to -nowidelut, but preserve former
Eddie Hung [Wed, 26 Jun 2019 16:33:48 +0000 (09:33 -0700)]
synth_ecp5 rename -nomux to -nowidelut, but preserve former

5 years agoMerge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriakin/xc7no...
Eddie Hung [Wed, 26 Jun 2019 16:33:38 +0000 (09:33 -0700)]
Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriakin/xc7nocarrymux

5 years agoImprove opt_clean handling of unused public wires
Clifford Wolf [Wed, 26 Jun 2019 15:54:17 +0000 (17:54 +0200)]
Improve opt_clean handling of unused public wires

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1136 from YosysHQ/xaig_ice40_wire_del
Eddie Hung [Wed, 26 Jun 2019 15:51:11 +0000 (08:51 -0700)]
Merge pull request #1136 from YosysHQ/xaig_ice40_wire_del

abc9: Add wire delays to synth_ice40

5 years agoImprove BTOR2 handling of undriven wires
Clifford Wolf [Wed, 26 Jun 2019 15:42:00 +0000 (17:42 +0200)]
Improve BTOR2 handling of undriven wires

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoabc9: Add wire delays to synth_ice40
David Shah [Wed, 26 Jun 2019 10:39:44 +0000 (11:39 +0100)]
abc9: Add wire delays to synth_ice40

Signed-off-by: David Shah <dave@ds0.me>
5 years agoFix segfault on failed VERILOG_FRONTEND::const2ast, closes #1131
Clifford Wolf [Wed, 26 Jun 2019 09:09:43 +0000 (11:09 +0200)]
Fix segfault on failed VERILOG_FRONTEND::const2ast, closes #1131

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDo not clean up buffer cells with "keep" attribute, closes #1128
Clifford Wolf [Wed, 26 Jun 2019 09:00:44 +0000 (11:00 +0200)]
Do not clean up buffer cells with "keep" attribute, closes #1128

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoEscape scope names starting with dollar sign in smtio.py
Clifford Wolf [Wed, 26 Jun 2019 08:58:39 +0000 (10:58 +0200)]
Escape scope names starting with dollar sign in smtio.py

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd more ECP5 Diamond flip-flops.
whitequark [Tue, 25 Jun 2019 16:37:36 +0000 (16:37 +0000)]
Add more ECP5 Diamond flip-flops.

This includes all I/O registers, and a few more regular FFs where it
was convenient.

5 years agoMissing muxpack.o in Makefile
Eddie Hung [Tue, 25 Jun 2019 17:38:42 +0000 (10:38 -0700)]
Missing muxpack.o in Makefile

5 years agoRealistic delays for RAM32X1D too
Eddie Hung [Tue, 25 Jun 2019 06:05:28 +0000 (23:05 -0700)]
Realistic delays for RAM32X1D too

5 years agoAdd RAM32X1D box info
Eddie Hung [Tue, 25 Jun 2019 05:54:35 +0000 (22:54 -0700)]
Add RAM32X1D box info

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 25 Jun 2019 16:33:11 +0000 (09:33 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoAdd testcase from #335, fixed by #1130
Eddie Hung [Tue, 25 Jun 2019 15:43:58 +0000 (08:43 -0700)]
Add testcase from #335, fixed by #1130

5 years agoMerge pull request #1130 from YosysHQ/eddie/fix710
Clifford Wolf [Tue, 25 Jun 2019 15:34:44 +0000 (17:34 +0200)]
Merge pull request #1130 from YosysHQ/eddie/fix710

memory_dff: walk through more than one mux for computing read enable

5 years agoFix spacing
Eddie Hung [Tue, 25 Jun 2019 15:33:17 +0000 (08:33 -0700)]
Fix spacing

5 years agoMove only one consumer check outside of while loop
Eddie Hung [Tue, 25 Jun 2019 15:29:55 +0000 (08:29 -0700)]
Move only one consumer check outside of while loop

5 years agoMerge pull request #1129 from YosysHQ/eddie/ram32x1d
Eddie Hung [Tue, 25 Jun 2019 15:22:57 +0000 (08:22 -0700)]
Merge pull request #1129 from YosysHQ/eddie/ram32x1d

Add RAM32X1D support

5 years agoMerge pull request #1075 from YosysHQ/eddie/muxpack
Clifford Wolf [Tue, 25 Jun 2019 15:21:59 +0000 (17:21 +0200)]
Merge pull request #1075 from YosysHQ/eddie/muxpack

Add new "muxpack" command for packing chains of $mux cells

5 years agonullptr check
Eddie Hung [Tue, 25 Jun 2019 06:37:01 +0000 (23:37 -0700)]
nullptr check

5 years agoUse LUT delays for dist RAM delays
Eddie Hung [Tue, 25 Jun 2019 06:02:53 +0000 (23:02 -0700)]
Use LUT delays for dist RAM delays

5 years agoFix for abc_scc_break is bus
Eddie Hung [Tue, 25 Jun 2019 05:16:56 +0000 (22:16 -0700)]
Fix for abc_scc_break is bus

5 years agoMore meaningful error message
Eddie Hung [Tue, 25 Jun 2019 05:12:55 +0000 (22:12 -0700)]
More meaningful error message

5 years agoRe-enable dist RAM boxes for ECP5
Eddie Hung [Tue, 25 Jun 2019 05:12:50 +0000 (22:12 -0700)]
Re-enable dist RAM boxes for ECP5

5 years agoRevert "Re-enable dist RAM boxes for ECP5"
Eddie Hung [Tue, 25 Jun 2019 05:10:28 +0000 (22:10 -0700)]
Revert "Re-enable dist RAM boxes for ECP5"

This reverts commit ca0225fcfaa8c9c68647034351a1569464959edf.

5 years agoDo not use log_id as it strips \\, also fix scc for |wire| > 1
Eddie Hung [Tue, 25 Jun 2019 05:04:22 +0000 (22:04 -0700)]
Do not use log_id as it strips \\, also fix scc for |wire| > 1

5 years agoRe-enable dist RAM boxes for ECP5
Eddie Hung [Tue, 25 Jun 2019 04:55:54 +0000 (21:55 -0700)]
Re-enable dist RAM boxes for ECP5

5 years agoAdd Xilinx dist RAM as comb boxes
Eddie Hung [Tue, 25 Jun 2019 04:54:01 +0000 (21:54 -0700)]
Add Xilinx dist RAM as comb boxes

5 years agoFix abc9's scc breaker, also break on abc_scc_break attr
Eddie Hung [Tue, 25 Jun 2019 04:53:18 +0000 (21:53 -0700)]
Fix abc9's scc breaker, also break on abc_scc_break attr

5 years agoAdd tests/various/abc9.{v,ys} with SCC test
Eddie Hung [Tue, 25 Jun 2019 04:52:53 +0000 (21:52 -0700)]
Add tests/various/abc9.{v,ys} with SCC test

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 25 Jun 2019 03:01:43 +0000 (20:01 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoWalk through as many muxes as exist for rd_en
Eddie Hung [Tue, 25 Jun 2019 01:33:06 +0000 (18:33 -0700)]
Walk through as many muxes as exist for rd_en

5 years agoAdd test
Eddie Hung [Tue, 25 Jun 2019 01:32:58 +0000 (18:32 -0700)]
Add test

5 years agoAdd RAM32X1D support
Eddie Hung [Mon, 24 Jun 2019 23:16:50 +0000 (16:16 -0700)]
Add RAM32X1D support

5 years agoMerge pull request #1124 from mmicko/json_ports
Clifford Wolf [Mon, 24 Jun 2019 06:52:12 +0000 (08:52 +0200)]
Merge pull request #1124 from mmicko/json_ports

Add upto and offset to JSON ports

5 years agoMerge remote-tracking branch 'origin/master' into eddie/muxpack
Eddie Hung [Sat, 22 Jun 2019 21:40:55 +0000 (14:40 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/muxpack

5 years agoAdd comments to ecp5 box
Eddie Hung [Sat, 22 Jun 2019 21:33:47 +0000 (14:33 -0700)]
Add comments to ecp5 box

5 years agoAdd comment to xc7 box
Eddie Hung [Sat, 22 Jun 2019 21:28:24 +0000 (14:28 -0700)]
Add comment to xc7 box

5 years agoFix and cleanup ice40 boxes for carry in/out
Eddie Hung [Sat, 22 Jun 2019 21:27:41 +0000 (14:27 -0700)]
Fix and cleanup ice40 boxes for carry in/out

5 years agoCarry in/out box ordering now move to end, not swap with end
Eddie Hung [Sat, 22 Jun 2019 21:18:42 +0000 (14:18 -0700)]
Carry in/out box ordering now move to end, not swap with end

5 years agoRemove DFF and RAMD box info for now
Eddie Hung [Sat, 22 Jun 2019 03:41:14 +0000 (20:41 -0700)]
Remove DFF and RAMD box info for now

5 years agoMerge branch 'master' into xaig
Eddie Hung [Sat, 22 Jun 2019 03:31:56 +0000 (20:31 -0700)]
Merge branch 'master' into xaig

5 years agoAdd 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG
Eddie Hung [Sat, 22 Jun 2019 03:30:24 +0000 (20:30 -0700)]
Add 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Sat, 22 Jun 2019 00:43:29 +0000 (17:43 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoFix CHANGELOG
Eddie Hung [Sat, 22 Jun 2019 00:39:56 +0000 (17:39 -0700)]
Fix CHANGELOG

5 years agoReduce log_debug spam in parse_xaiger()
Eddie Hung [Sat, 22 Jun 2019 00:33:49 +0000 (17:33 -0700)]
Reduce log_debug spam in parse_xaiger()

5 years agoDo not rename non LUT cells in abc9
Eddie Hung [Fri, 21 Jun 2019 22:46:45 +0000 (15:46 -0700)]
Do not rename non LUT cells in abc9

5 years agoReplace assert with error message
Eddie Hung [Fri, 21 Jun 2019 22:45:51 +0000 (15:45 -0700)]
Replace assert with error message

5 years agoAdd log_push()/log_pop() inside write_xaiger
Eddie Hung [Sat, 22 Jun 2019 00:16:38 +0000 (17:16 -0700)]
Add log_push()/log_pop() inside write_xaiger

5 years agoMerge pull request #1108 from YosysHQ/clifford/fix1091
Eddie Hung [Sat, 22 Jun 2019 00:13:41 +0000 (17:13 -0700)]
Merge pull request #1108 from YosysHQ/clifford/fix1091

Add support for partial matches to muxcover

5 years agoOne more workaround for gcc-4.8
Eddie Hung [Fri, 21 Jun 2019 21:35:58 +0000 (14:35 -0700)]
One more workaround for gcc-4.8

5 years agoWorkaround issues exposed by gcc-4.8
Eddie Hung [Fri, 21 Jun 2019 21:23:39 +0000 (14:23 -0700)]
Workaround issues exposed by gcc-4.8

5 years agoNo point logging constant bit
Eddie Hung [Fri, 21 Jun 2019 19:46:55 +0000 (12:46 -0700)]
No point logging constant bit

5 years agoMove comment
Eddie Hung [Fri, 21 Jun 2019 19:43:20 +0000 (12:43 -0700)]
Move comment

5 years agoCope with $reduce_or common in case
Eddie Hung [Fri, 21 Jun 2019 19:31:14 +0000 (12:31 -0700)]
Cope with $reduce_or common in case

5 years agoAdd more tests
Eddie Hung [Fri, 21 Jun 2019 19:31:04 +0000 (12:31 -0700)]
Add more tests

5 years agoFix testcase
Eddie Hung [Fri, 21 Jun 2019 19:13:00 +0000 (12:13 -0700)]
Fix testcase

5 years agoFix spacing
Eddie Hung [Fri, 21 Jun 2019 18:52:51 +0000 (11:52 -0700)]
Fix spacing

5 years agoAdd doc
Eddie Hung [Fri, 21 Jun 2019 18:52:28 +0000 (11:52 -0700)]
Add doc

5 years agoAdd more muxpack tests, with overlapping entries
Eddie Hung [Fri, 21 Jun 2019 18:45:53 +0000 (11:45 -0700)]
Add more muxpack tests, with overlapping entries