litex.git
4 years agobuild/xilinx/XilinxMultiRegImpl: fix n=0 case
Florent Kermarrec [Mon, 16 Dec 2019 10:12:38 +0000 (11:12 +0100)]
build/xilinx/XilinxMultiRegImpl: fix n=0 case

4 years agobuild/xilinx/ise: cleanup/simplify pass, remove mist support (not aware of anyone...
Florent Kermarrec [Sat, 14 Dec 2019 21:47:07 +0000 (22:47 +0100)]
build/xilinx/ise: cleanup/simplify pass, remove mist support (not aware of anyone using it)

4 years agosoc/cores/cpu: add initial Microwatt gateware support
Florent Kermarrec [Fri, 13 Dec 2019 22:58:14 +0000 (23:58 +0100)]
soc/cores/cpu: add initial Microwatt gateware support

Implementation tested on arty:
cd litex/soc/cores/cpu/microwatt
git clone https://github.com/antonblanchard/microwatt
mv microwatt sources

cd litex/boards/targets
./arty --cpu-type=microwatt --no-compile-gateware

4 years agosoc/cores/cpu/minerva: add self.reset to i_rst
Florent Kermarrec [Fri, 13 Dec 2019 22:44:07 +0000 (23:44 +0100)]
soc/cores/cpu/minerva: add self.reset to i_rst

4 years agoMerge pull request #315 from gsomlo/gls-csr-assert
enjoy-digital [Fri, 13 Dec 2019 20:57:14 +0000 (21:57 +0100)]
Merge pull request #315 from gsomlo/gls-csr-assert

soc_core: additional CSR safety assertions

4 years agosoc_core: additional CSR safety assertions
Gabriel Somlo [Thu, 12 Dec 2019 14:02:47 +0000 (09:02 -0500)]
soc_core: additional CSR safety assertions

Since csr_data_width=64 has probably never worked properly, remove
it as one of the possible options (to be fixed and re-added later).
Add csr_data_width=16, which has been tested and does work.

Additionally, ensure csr_data_width <= csr_alignment (we should not
attempt to create (sub)registers larger than the CPU's native word
size or XLen).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agosoc_core: remove static 16MB csr region allocation (use csr_address_width to allocate...
Florent Kermarrec [Thu, 12 Dec 2019 11:41:25 +0000 (12:41 +0100)]
soc_core: remove static 16MB csr region allocation (use csr_address_width to allocate the correct size)

4 years agosoc_core: add sort of CSR regions by origin (allow csr.h/csr.csv to be ordered by...
Florent Kermarrec [Thu, 12 Dec 2019 10:27:56 +0000 (11:27 +0100)]
soc_core: add sort of CSR regions by origin (allow csr.h/csr.csv to be ordered by origin)

4 years agocores/8b10b: use real Memory for 6b5b table (to improve timings on ECP5)
Florent Kermarrec [Mon, 9 Dec 2019 18:25:38 +0000 (19:25 +0100)]
cores/8b10b: use real Memory for 6b5b table (to improve timings on ECP5)

4 years agobuild/xilinx/vivado: move build_script generation
Florent Kermarrec [Sun, 8 Dec 2019 11:19:38 +0000 (12:19 +0100)]
build/xilinx/vivado: move build_script generation

4 years agobuild/xilinx/vivado: cleanup/simplify
Florent Kermarrec [Sun, 8 Dec 2019 11:08:17 +0000 (12:08 +0100)]
build/xilinx/vivado: cleanup/simplify

4 years agobuild/lattice/icestorm: cleanup/simplify (and remove arachne-pnr support)
Florent Kermarrec [Sat, 7 Dec 2019 20:43:15 +0000 (21:43 +0100)]
build/lattice/icestorm: cleanup/simplify (and remove arachne-pnr support)

4 years agobuild/xilinx/common/platform/programmer: cleanup pass
Florent Kermarrec [Fri, 6 Dec 2019 21:04:36 +0000 (22:04 +0100)]
build/xilinx/common/platform/programmer: cleanup pass

4 years agoboards: add Lambdaconcept's PCIe Screamer (R02)
Florent Kermarrec [Fri, 6 Dec 2019 17:20:59 +0000 (18:20 +0100)]
boards: add Lambdaconcept's PCIe Screamer (R02)

4 years agotargets/versa_ecp5: fix compilation with diamond
Florent Kermarrec [Fri, 6 Dec 2019 15:15:08 +0000 (16:15 +0100)]
targets/versa_ecp5: fix compilation with diamond

4 years agoboards/targets: keep attributes are no longer needed since automatically added when...
Florent Kermarrec [Fri, 6 Dec 2019 14:58:06 +0000 (15:58 +0100)]
boards/targets: keep attributes are no longer needed since automatically added when applying constraints to signals.

4 years agobuild: automatically add keep attribute to signals with timing constraints.
Florent Kermarrec [Fri, 6 Dec 2019 14:41:15 +0000 (15:41 +0100)]
build: automatically add keep attribute to signals with timing constraints.

Avoid having to specify it manually or eventually forget to do it and have a constraints that is not applied correctly.

4 years agobuild/altera/quartus: allow adding period constraints on nets and add optional additi...
Florent Kermarrec [Fri, 6 Dec 2019 14:16:21 +0000 (15:16 +0100)]
build/altera/quartus: allow adding period constraints on nets and add optional additional sdc/qsf commands

Additional sdc/qsf commands can be added from the design like:
platform.sdc_additional_commands.append("create_clock ...")
platform.sdc_additional_commands.append("set_false_path ...")

4 years agobuild/lattice/trellis: nextpnr now handle LPF timing constraints and multiple clock...
Florent Kermarrec [Fri, 6 Dec 2019 11:57:59 +0000 (12:57 +0100)]
build/lattice/trellis: nextpnr now handle LPF timing constraints and multiple clock domains, freq_constraint is no longer needed.

4 years agobuild/lattice: cleanup/simplify (no functional changes)
Florent Kermarrec [Fri, 6 Dec 2019 11:51:50 +0000 (12:51 +0100)]
build/lattice: cleanup/simplify (no functional changes)

icestorm still need to be cleaned up

4 years agobuild/lattice: cleanup/simplify
Florent Kermarrec [Fri, 6 Dec 2019 11:13:20 +0000 (12:13 +0100)]
build/lattice: cleanup/simplify

4 years agobuild/microsemi: cleanup/simplify (no functional change)
Florent Kermarrec [Fri, 6 Dec 2019 10:14:57 +0000 (11:14 +0100)]
build/microsemi: cleanup/simplify (no functional change)

4 years agobuild/altera: cleanup/simplify (no functional change)
Florent Kermarrec [Fri, 6 Dec 2019 08:29:48 +0000 (09:29 +0100)]
build/altera: cleanup/simplify (no functional change)

Altera build backend was a bit messy and needed some cleanup to ease future maintenance and new features.

4 years agoMerge pull request #313 from mmicko/yosys_ise_flow_fix
Tim Ansell [Fri, 6 Dec 2019 03:05:44 +0000 (19:05 -0800)]
Merge pull request #313 from mmicko/yosys_ise_flow_fix

Yosys - ISE flow fix

4 years agobuild/xilinx/vivado: use VHDL 2008 as default
Florent Kermarrec [Tue, 3 Dec 2019 14:27:20 +0000 (15:27 +0100)]
build/xilinx/vivado: use VHDL 2008 as default

4 years agotargets/nexys4ddr: remove MEMTEST_ADDR_SIZE limitation (no longer needed)
Florent Kermarrec [Tue, 3 Dec 2019 09:11:15 +0000 (10:11 +0100)]
targets/nexys4ddr: remove MEMTEST_ADDR_SIZE limitation (no longer needed)

4 years agotargets/netv2: switch to MVP DDR3 (K4B2G1646F instead of MT41J128M16)
Florent Kermarrec [Tue, 3 Dec 2019 08:05:52 +0000 (09:05 +0100)]
targets/netv2: switch to MVP DDR3 (K4B2G1646F instead of MT41J128M16)

4 years agotargets: uniformize, improve presentation
Florent Kermarrec [Tue, 3 Dec 2019 07:58:01 +0000 (08:58 +0100)]
targets: uniformize, improve presentation

4 years agoREADME: fix LitePCIe Travis-CI link
Florent Kermarrec [Mon, 2 Dec 2019 10:03:42 +0000 (11:03 +0100)]
README: fix LitePCIe Travis-CI link

4 years agosoc/interconnect/csr: add fields support for CSRStorage's write simulation method
Florent Kermarrec [Mon, 2 Dec 2019 08:44:44 +0000 (09:44 +0100)]
soc/interconnect/csr: add fields support for CSRStorage's write simulation method

4 years agosoc/cores/gpio: add GPIO Tristate
Florent Kermarrec [Sun, 1 Dec 2019 20:26:37 +0000 (21:26 +0100)]
soc/cores/gpio: add GPIO Tristate

4 years agosetup.py: update long_description
Florent Kermarrec [Sat, 30 Nov 2019 18:30:50 +0000 (19:30 +0100)]
setup.py: update long_description

4 years agoREADME.md: use litex logo
Florent Kermarrec [Sat, 30 Nov 2019 18:23:34 +0000 (19:23 +0100)]
README.md: use litex logo

4 years agoREADME: switch to Markdown
Florent Kermarrec [Sat, 30 Nov 2019 18:18:04 +0000 (19:18 +0100)]
README: switch to Markdown

4 years agoMerge pull request #311 from kbeckmann/trellis_cabga256
Tim Ansell [Sat, 30 Nov 2019 03:05:56 +0000 (19:05 -0800)]
Merge pull request #311 from kbeckmann/trellis_cabga256

trellis: Support the CABGA256 package

4 years agotrellis: Support the CABGA256 package
Konrad Beckmann [Sat, 30 Nov 2019 01:38:16 +0000 (02:38 +0100)]
trellis: Support the CABGA256 package

4 years agoProperly select family for those currently supported
Miodrag Milanovic [Fri, 29 Nov 2019 18:11:22 +0000 (19:11 +0100)]
Properly select family for those currently supported

4 years agoIntegrate with latest yosys changes
Miodrag Milanovic [Fri, 29 Nov 2019 16:12:08 +0000 (17:12 +0100)]
Integrate with latest yosys changes

4 years agoMerge pull request #310 from xobs/spi-flash-mode3-doc
enjoy-digital [Mon, 25 Nov 2019 20:01:17 +0000 (21:01 +0100)]
Merge pull request #310 from xobs/spi-flash-mode3-doc

spi_flash: correct documentation on SPI mode

4 years agospi_flash: correct documentation on SPI mode
Sean Cross [Mon, 25 Nov 2019 04:33:56 +0000 (12:33 +0800)]
spi_flash: correct documentation on SPI mode

The SPI mode is actually mode3, since the output value is updated on the
falling edge of CLK and the input value is updated on the rising edge.

This also clarifies some of the documentation based on experience with
the core.

Signed-off-by: Sean Cross <sean@xobs.io>
4 years agotools/remote/comm_udp: only use one socket
Florent Kermarrec [Fri, 22 Nov 2019 14:28:35 +0000 (15:28 +0100)]
tools/remote/comm_udp: only use one socket

4 years agobuild/generic_platform: avoid duplicate in GenericPlatform.sources
Florent Kermarrec [Fri, 22 Nov 2019 14:28:07 +0000 (15:28 +0100)]
build/generic_platform: avoid duplicate in GenericPlatform.sources

4 years agosoc/cores/clock: change drp_locked to CSRStatus and connect it :)
Florent Kermarrec [Wed, 20 Nov 2019 18:36:51 +0000 (19:36 +0100)]
soc/cores/clock: change drp_locked to CSRStatus and connect it :)

4 years agosoc/cores/clock: reset PLL/MMCM on all 7-series/Ultrascale with self.reset signal
Florent Kermarrec [Wed, 20 Nov 2019 18:24:40 +0000 (19:24 +0100)]
soc/cores/clock: reset PLL/MMCM on all 7-series/Ultrascale with self.reset signal

4 years agoMerge pull request #309 from antmicro/mmcm-fix
enjoy-digital [Wed, 20 Nov 2019 18:20:15 +0000 (19:20 +0100)]
Merge pull request #309 from antmicro/mmcm-fix

soc/cores/clock: add lock reg and assign reset

4 years agosoc/cores/clock: add lock reg and assign reset
Pawel Czarnecki [Wed, 20 Nov 2019 14:29:36 +0000 (15:29 +0100)]
soc/cores/clock: add lock reg and assign reset

It was necessary to add drp_locked CSR for reading LOCK signal from
MMCM. Additionally, input signal RESET from MMCM was not driven by
any signal to do a proper reset of MMCM module thus it was impossible
to perform entirely correct dynamic clock reconfiguration.

4 years agosoc/interconnect/axi: add Wishbone2AXILite
Florent Kermarrec [Wed, 20 Nov 2019 11:32:22 +0000 (12:32 +0100)]
soc/interconnect/axi: add Wishbone2AXILite

4 years agotest/test_axi: cosmetic
Florent Kermarrec [Wed, 20 Nov 2019 10:22:39 +0000 (11:22 +0100)]
test/test_axi: cosmetic

4 years agobuild/tools/get_migen/litex_git_revision: avoid git fatal error message is not instal...
Florent Kermarrec [Tue, 19 Nov 2019 08:11:11 +0000 (09:11 +0100)]
build/tools/get_migen/litex_git_revision: avoid git fatal error message is not installed as a git repository

4 years agoMerge pull request #308 from gsomlo/gls-sdram-init
enjoy-digital [Mon, 18 Nov 2019 17:24:35 +0000 (18:24 +0100)]
Merge pull request #308 from gsomlo/gls-sdram-init

soc_sdram, bios/sdram: support sdram init for csr_data_width <= 32

4 years agosoc_sdram, bios/sdram: support sdram init for csr_data_width <= 32
Gabriel Somlo [Sun, 17 Nov 2019 15:08:50 +0000 (10:08 -0500)]
soc_sdram, bios/sdram: support sdram init for csr_data_width <= 32

Enable SDRAM to be initialized when csr_data_width > 8 bits.
Currently, csr_data_width up to 32 bits is supported.

Read leveling tested with csr_data_width [8, 16, 32] on the
ecp5-versa5g and trellisboard (using yosys/trellis/nextpnr),
and on the nexys4ddr (using Vivado).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agosoc/interconnect/packet/Depacketizer: another simplifcation pass
Florent Kermarrec [Mon, 18 Nov 2019 07:51:44 +0000 (08:51 +0100)]
soc/interconnect/packet/Depacketizer: another simplifcation pass

4 years agosoc/interconnect/packet/Depacketizer: cleanup "ALIGNED-DATA-COPY" state
Florent Kermarrec [Sun, 17 Nov 2019 10:57:14 +0000 (11:57 +0100)]
soc/interconnect/packet/Depacketizer: cleanup "ALIGNED-DATA-COPY" state

4 years agosoc/interconnect/packet/Depacketizer: replace no_payload with sink_d.last
Florent Kermarrec [Sun, 17 Nov 2019 10:50:09 +0000 (11:50 +0100)]
soc/interconnect/packet/Depacketizer: replace no_payload with sink_d.last

4 years agotest/test_packet: add randomness on ready output, fix corner-cases on Packetizer...
Florent Kermarrec [Sat, 16 Nov 2019 13:39:18 +0000 (14:39 +0100)]
test/test_packet: add randomness on ready output, fix corner-cases on Packetizer/Depacketizer

4 years agotest/test_packet: add randomness on valid input, fix corner-cases on Packetizer
Florent Kermarrec [Sat, 16 Nov 2019 07:49:04 +0000 (08:49 +0100)]
test/test_packet: add randomness on valid input, fix corner-cases on Packetizer

4 years agoMerge pull request #307 from sergachev/master
enjoy-digital [Fri, 15 Nov 2019 17:17:35 +0000 (18:17 +0100)]
Merge pull request #307 from sergachev/master

change >512 B CSR memory exception to a warning

4 years agosoc/interconnect/packet: fix synthesis (synthesis tools can do all sort of optimizati...
Florent Kermarrec [Fri, 15 Nov 2019 15:19:05 +0000 (16:19 +0100)]
soc/interconnect/packet: fix synthesis (synthesis tools can do all sort of optimizations, but we still need to provide valid verilog :))

4 years agochange >512 B CSR memory exception to a warning
Ilia Sergachev [Fri, 15 Nov 2019 14:34:12 +0000 (15:34 +0100)]
change >512 B CSR memory exception to a warning

4 years agosoc/interconnect/packet: connect error/last_be only present on both sink and source
Florent Kermarrec [Fri, 15 Nov 2019 13:57:31 +0000 (14:57 +0100)]
soc/interconnect/packet: connect error/last_be only present on both sink and source

4 years agosoc/interconnect/packet: simplify/refactor Packetizer/Depacketizer to keep it simple
Florent Kermarrec [Fri, 15 Nov 2019 13:34:56 +0000 (14:34 +0100)]
soc/interconnect/packet: simplify/refactor Packetizer/Depacketizer to keep it simple

To avoid complex FSMs, let the synthesis tool do the simplifications when the FSM states are not reachable.

4 years agotest/test_packet: add 32/64/128-bit loopback tests (passing :))
Florent Kermarrec [Fri, 15 Nov 2019 10:36:52 +0000 (11:36 +0100)]
test/test_packet: add 32/64/128-bit loopback tests (passing :))

4 years agotest/test_packet: prepare for testing dw > 8-bit
Florent Kermarrec [Fri, 15 Nov 2019 10:32:42 +0000 (11:32 +0100)]
test/test_packet: prepare for testing dw > 8-bit

4 years agosoc/interconnect/packet: update copyright
Florent Kermarrec [Fri, 15 Nov 2019 10:25:38 +0000 (11:25 +0100)]
soc/interconnect/packet: update copyright

4 years agosoc/interconnect/packet: add > 8-bit support to Packetizer/Depacketizer
Vamsi K Vytla [Fri, 15 Nov 2019 10:22:49 +0000 (11:22 +0100)]
soc/interconnect/packet: add > 8-bit support to Packetizer/Depacketizer

With high speed link (10gbps XGMII ethernet for example), stream data_width is generally
> 8-bit which make header/data un-aligned on bytes boundaries. The change allows the
Packetizer/Depacketizer to work on stream with a data_width > 8-bit.

4 years agobuild/sim: cleanup run_as_root
Florent Kermarrec [Fri, 15 Nov 2019 09:57:31 +0000 (10:57 +0100)]
build/sim: cleanup run_as_root

4 years agobuild/sim/modules: add XGMII 10Gbps ethernet module
Vamsi K Vytla [Fri, 15 Nov 2019 09:47:13 +0000 (10:47 +0100)]
build/sim/modules: add XGMII 10Gbps ethernet module

Used to simulate SoCs with XGMII 10Gbps ethernet and to do LiteEth verification

4 years agosim/ethernet: remove trailing whitespaces
Florent Kermarrec [Fri, 15 Nov 2019 09:39:49 +0000 (10:39 +0100)]
sim/ethernet: remove trailing whitespaces

4 years agotest: add initial test_packet
Florent Kermarrec [Fri, 15 Nov 2019 09:29:39 +0000 (10:29 +0100)]
test: add initial test_packet

Use a header with 8,16,32,64,128-bit fields and test a Packetizer/Depacketizer loopback with random field values, random packet data & length.

4 years agotools/litex_sim: cleanup/update (no functional change)
Florent Kermarrec [Thu, 14 Nov 2019 10:19:07 +0000 (11:19 +0100)]
tools/litex_sim: cleanup/update (no functional change)

4 years agotools/litex_term: remove automatic reboot when flashing and clear mem_regions to...
Florent Kermarrec [Mon, 11 Nov 2019 17:38:10 +0000 (18:38 +0100)]
tools/litex_term: remove automatic reboot when flashing and clear mem_regions to avoid re-flashing on next reboot(s)

4 years agobios/flash: minor cleanup on serialboot flashing, add flash address support
Florent Kermarrec [Fri, 8 Nov 2019 23:00:55 +0000 (00:00 +0100)]
bios/flash: minor cleanup on serialboot flashing, add flash address support

4 years agoMerge pull request #305 from FrankBuss/master
enjoy-digital [Fri, 8 Nov 2019 22:51:49 +0000 (23:51 +0100)]
Merge pull request #305 from FrankBuss/master

adding support to flash an FBI image

4 years agosoc_core: add integrated-rom-file parameter to allow initializing rom from command...
Florent Kermarrec [Fri, 8 Nov 2019 22:27:58 +0000 (23:27 +0100)]
soc_core: add integrated-rom-file parameter to allow initializing rom from command line

4 years agocores/code_8b10b/Decoder: add basic invalid symbols detection
Florent Kermarrec [Fri, 8 Nov 2019 18:43:01 +0000 (19:43 +0100)]
cores/code_8b10b/Decoder: add basic invalid symbols detection

Check that we have 4,5 or 6 ones in the symbol. This does not report all
invalid symbols but still allow detecting issues with the link.

4 years agoadding support to flash an FBI image
fb@frank-buss.de [Fri, 8 Nov 2019 16:16:28 +0000 (17:16 +0100)]
adding support to flash an FBI image

4 years agosoftware/bios: rename ef command to fe (for consistency)
Florent Kermarrec [Fri, 8 Nov 2019 12:14:21 +0000 (13:14 +0100)]
software/bios: rename ef command to fe (for consistency)

4 years agosoftware/libbase/spiflash: rename CHIP_ERASE_CMD to CE_CMD (for consistency)
Florent Kermarrec [Fri, 8 Nov 2019 12:13:54 +0000 (13:13 +0100)]
software/libbase/spiflash: rename CHIP_ERASE_CMD to CE_CMD (for consistency)

4 years agoMerge pull request #302 from FrankBuss/master
enjoy-digital [Fri, 8 Nov 2019 12:04:33 +0000 (13:04 +0100)]
Merge pull request #302 from FrankBuss/master

erase flash command added

4 years agosoc_core: remove add_cpu method (when no real CPU but only wishbone masters, self...
Florent Kermarrec [Fri, 8 Nov 2019 11:55:29 +0000 (12:55 +0100)]
soc_core: remove add_cpu method (when no real CPU but only wishbone masters, self.cpu is declared as CPUNone)

4 years agoerase flash command added
fb@frank-buss.de [Thu, 7 Nov 2019 18:19:54 +0000 (19:19 +0100)]
erase flash command added

4 years agointegration/export: do not include soc.h in csr.h when with_access_functions=False
Florent Kermarrec [Thu, 7 Nov 2019 08:02:31 +0000 (09:02 +0100)]
integration/export: do not include soc.h in csr.h when with_access_functions=False

Idealy we should have another parameter for that.

4 years agosoc_sdram/kcu105: add optional main_ram_size_limit and use it on KCU105 to limit...
Florent Kermarrec [Thu, 7 Nov 2019 08:00:54 +0000 (09:00 +0100)]
soc_sdram/kcu105: add optional main_ram_size_limit and use it on KCU105 to limit to 1GB instead of 2GB.

CSR map will need to be updated to support the 2GB.

4 years agosoc_sdram: remove use_full_memory_we parameter (always used as True)
Florent Kermarrec [Thu, 7 Nov 2019 07:56:52 +0000 (08:56 +0100)]
soc_sdram: remove use_full_memory_we parameter (always used as True)

4 years agosoc_sdram: update copyrights
Florent Kermarrec [Thu, 7 Nov 2019 07:44:34 +0000 (08:44 +0100)]
soc_sdram: update copyrights

4 years agoMerge pull request #300 from gsomlo/gls-rocket-axi
enjoy-digital [Thu, 7 Nov 2019 07:40:30 +0000 (08:40 +0100)]
Merge pull request #300 from gsomlo/gls-rocket-axi

RFC: Direct link between Rocket/mem_axi <--> LiteDRAM dataport

4 years agocpu/rocket: parameterize axi interface data width
Gabriel Somlo [Fri, 1 Nov 2019 12:45:23 +0000 (08:45 -0400)]
cpu/rocket: parameterize axi interface data width

Rocket variants can be configured with axi port data widths that
are multiples of the native word size (64 bits in our case). In
the future, we will add variants with mem_axi data width > 64 bit,
to match the native data width of the LiteDRAM controller on
various development boards (e.g., 128 bits on the ecp5versa, and
256 bits on the trellisboard).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agosoc_sdram: remove upper limit on usable main RAM
Gabriel Somlo [Thu, 31 Oct 2019 20:23:36 +0000 (16:23 -0400)]
soc_sdram: remove upper limit on usable main RAM

Revert commit #68a503174.

4 years agocpu/rocket, soc_sdram: Connect mem_axi to LiteDRAM, bypass WB bus
Gabriel Somlo [Wed, 30 Oct 2019 14:37:17 +0000 (10:37 -0400)]
cpu/rocket, soc_sdram: Connect mem_axi to LiteDRAM, bypass WB bus

Connect Rocket's dedicated port for cached RAM accesses (mem_axi)
directly to the LiteDRAM data port, bypassing the shared LiteX
(Wishbone) bus.

When both Rocket's mem_axi and LiteDRAM's port have the same data
width, use a native point-to-point AXI connection.

Otherwise, convert both ends to Wishbone, and use the Wishbone
data width converter to bridge the gap.
FIXME: In the future, this part should be replaced with a native
AXI data width converter!

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agointerconnect/csr_bus/SRAM: add mem_size check
Florent Kermarrec [Fri, 1 Nov 2019 10:33:43 +0000 (11:33 +0100)]
interconnect/csr_bus/SRAM: add mem_size check

Memory size is limited to 512 bytes:
- CSR region size is 0x800 (4096)
- default csr_data_width is 8
maximum size = 4096/8 = 512 bytes.

4 years agosoc_core/soc_core_args: specify default cpu (vexriscv)
Florent Kermarrec [Fri, 1 Nov 2019 10:30:50 +0000 (11:30 +0100)]
soc_core/soc_core_args: specify default cpu (vexriscv)

4 years agolattice/diamond/tcl: always use / separators, even on windows
Florent Kermarrec [Fri, 1 Nov 2019 09:11:12 +0000 (10:11 +0100)]
lattice/diamond/tcl: always use / separators, even on windows

4 years agocpu/minerva: elaborate minerva verilog to build directory
Florent Kermarrec [Fri, 1 Nov 2019 08:25:02 +0000 (09:25 +0100)]
cpu/minerva: elaborate minerva verilog to build directory

4 years agosoc/integration/builder: pass output_dir to platform, make sure gateware/software...
Florent Kermarrec [Fri, 1 Nov 2019 08:23:42 +0000 (09:23 +0100)]
soc/integration/builder: pass output_dir to platform, make sure gateware/software directory are created before finalizing

4 years agocpu/minerva: generate minerva.v near core.py not in submodule
Florent Kermarrec [Thu, 31 Oct 2019 20:15:12 +0000 (21:15 +0100)]
cpu/minerva: generate minerva.v near core.py not in submodule

4 years agocpu/minverva: give more explicit error message when not able to elaborate cpu
Florent Kermarrec [Thu, 31 Oct 2019 07:52:04 +0000 (08:52 +0100)]
cpu/minverva: give more explicit error message when not able to elaborate cpu

4 years agoMerge pull request #297 from mithro/mem-region-pp
Tim Ansell [Thu, 31 Oct 2019 03:49:27 +0000 (20:49 -0700)]
Merge pull request #297 from mithro/mem-region-pp

Improve the error message on memory region conflict.

4 years agoImprove the error message on memory region conflict.
Tim 'mithro' Ansell [Thu, 31 Oct 2019 02:32:20 +0000 (19:32 -0700)]
Improve the error message on memory region conflict.

Before;
```
ValueError: Memory region conflict between rom and main_ram
```

After;
```
ValueError: Memory region conflict between rom (<SoCMemRegion 0x10000000 0x10000 cached>) and main_ram (<SoCMemRegion 0x0 0x20000000 cached>)
```

Fixes #296.

4 years agoMerge pull request #293 from mithro/mor1kx-fix
Tim Ansell [Wed, 30 Oct 2019 21:28:48 +0000 (14:28 -0700)]
Merge pull request #293 from mithro/mor1kx-fix

Fix file names for the mor1kx processor.

4 years agoFix file names for the mor1kx processor.
Tim 'mithro' Ansell [Wed, 30 Oct 2019 20:49:24 +0000 (13:49 -0700)]
Fix file names for the mor1kx processor.

Fixes #292.