yosys.git
5 years agoMerge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux
Eddie Hung [Mon, 10 Jun 2019 23:21:43 +0000 (16:21 -0700)]
Merge remote-tracking branch 'origin/eddie/shregmap_improve' into xc7mux

5 years agoIf d_bit already in sigbit_chain_next, create extra wire
Eddie Hung [Mon, 10 Jun 2019 23:16:40 +0000 (16:16 -0700)]
If d_bit already in sigbit_chain_next, create extra wire

5 years agoAdd test
Eddie Hung [Mon, 10 Jun 2019 23:16:26 +0000 (16:16 -0700)]
Add test

5 years agoRevert "Revert "Move ff_map back after ABC for shregmap""
Eddie Hung [Mon, 10 Jun 2019 21:37:09 +0000 (14:37 -0700)]
Revert "Revert "Move ff_map back after ABC for shregmap""

This reverts commit e473e7456545d702c011ee7872956f94a8522865.

5 years agoRevert "Rename shregmap -tech xilinx -> xilinx_dynamic"
Eddie Hung [Mon, 10 Jun 2019 21:34:43 +0000 (14:34 -0700)]
Revert "Rename shregmap -tech xilinx -> xilinx_dynamic"

This reverts commit 94a5f4e60985fc1e3fea75eec85638fa29874bea.

5 years agoRevert "shregmap -tech xilinx_dynamic to work -params and -enpol"
Eddie Hung [Mon, 10 Jun 2019 21:34:16 +0000 (14:34 -0700)]
Revert "shregmap -tech xilinx_dynamic to work -params and -enpol"

This reverts commit 45d1bdf83ae6d51628e917b66f1b6043c8a3baee.

5 years agoRevert "Refactor to ShregmapTechXilinx7Static"
Eddie Hung [Mon, 10 Jun 2019 21:34:15 +0000 (14:34 -0700)]
Revert "Refactor to ShregmapTechXilinx7Static"

This reverts commit e1e37db86073e545269ff440da77f57135e8b155.

5 years agoRevert "Add -tech xilinx_static"
Eddie Hung [Mon, 10 Jun 2019 21:34:14 +0000 (14:34 -0700)]
Revert "Add -tech xilinx_static"

This reverts commit dfe9d95579ab98d7518d40e427af858243de4eb3.

5 years agoRevert "Continue support for ShregmapTechXilinx7Static"
Eddie Hung [Mon, 10 Jun 2019 21:34:14 +0000 (14:34 -0700)]
Revert "Continue support for ShregmapTechXilinx7Static"

This reverts commit 72eda94a66c8c4938a713c9ae49d560e6b33574f.

5 years agoRevert "shregmap -tech xilinx_static to handle INIT"
Eddie Hung [Mon, 10 Jun 2019 21:34:12 +0000 (14:34 -0700)]
Revert "shregmap -tech xilinx_static to handle INIT"

This reverts commit 935df3569b4677ac38041ff01a2f67185681f4e3.

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Mon, 10 Jun 2019 18:02:54 +0000 (11:02 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoAdd some more comments
Eddie Hung [Mon, 10 Jun 2019 17:27:55 +0000 (10:27 -0700)]
Add some more comments

5 years agoMerge pull request #1082 from corecode/u4k
David Shah [Mon, 10 Jun 2019 14:12:23 +0000 (15:12 +0100)]
Merge pull request #1082 from corecode/u4k

ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k

5 years agoice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k
Simon Schubert [Mon, 10 Jun 2019 09:49:08 +0000 (11:49 +0200)]
ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k

5 years agoMerge pull request #1078 from YosysHQ/eddie/muxcover_costs
Clifford Wolf [Sat, 8 Jun 2019 09:31:19 +0000 (11:31 +0200)]
Merge pull request #1078 from YosysHQ/eddie/muxcover_costs

Allow muxcover costs to be changed

5 years agoUpdate CHANGELOG
Eddie Hung [Sat, 8 Jun 2019 00:00:36 +0000 (17:00 -0700)]
Update CHANGELOG

5 years agoComment out muxpack (currently broken)
Eddie Hung [Fri, 7 Jun 2019 23:58:57 +0000 (16:58 -0700)]
Comment out muxpack (currently broken)

5 years agoFine tune aigerparse
Eddie Hung [Fri, 7 Jun 2019 23:57:32 +0000 (16:57 -0700)]
Fine tune aigerparse

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 7 Jun 2019 23:15:19 +0000 (16:15 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoFix spacing from spaces to tabs
Eddie Hung [Fri, 7 Jun 2019 22:44:57 +0000 (15:44 -0700)]
Fix spacing from spaces to tabs

5 years agoMerge pull request #1079 from YosysHQ/eddie/fix_read_aiger
Clifford Wolf [Fri, 7 Jun 2019 21:13:34 +0000 (23:13 +0200)]
Merge pull request #1079 from YosysHQ/eddie/fix_read_aiger

Fix read_aiger to really get tested, and fix some uncovered read_aiger issues

5 years agoAdd read_aiger to CHANGELOG
Eddie Hung [Fri, 7 Jun 2019 20:12:48 +0000 (13:12 -0700)]
Add read_aiger to CHANGELOG

5 years agoFix spacing (entire file is wrong anyway, will fix later)
Eddie Hung [Fri, 7 Jun 2019 18:30:36 +0000 (11:30 -0700)]
Fix spacing (entire file is wrong anyway, will fix later)

5 years agoRemove unnecessary std::getline() for ASCII
Eddie Hung [Fri, 7 Jun 2019 18:28:25 +0000 (11:28 -0700)]
Remove unnecessary std::getline() for ASCII

5 years agoTest *.aag too, by using *.aig as reference
Eddie Hung [Fri, 7 Jun 2019 18:28:05 +0000 (11:28 -0700)]
Test *.aag too, by using *.aig as reference

5 years agoFix read_aiger -- create zero driver, fix init width, parse 'b'
Eddie Hung [Fri, 7 Jun 2019 18:07:15 +0000 (11:07 -0700)]
Fix read_aiger -- create zero driver, fix init width, parse 'b'

5 years agoUse ABC to convert from AIGER to Verilog
Eddie Hung [Fri, 7 Jun 2019 18:06:57 +0000 (11:06 -0700)]
Use ABC to convert from AIGER to Verilog

5 years agoUse ABC to convert AIGER to Verilog, then sat against Yosys
Eddie Hung [Fri, 7 Jun 2019 18:05:36 +0000 (11:05 -0700)]
Use ABC to convert AIGER to Verilog, then sat against Yosys

5 years agoAdd symbols to AIGER test inputs for ABC
Eddie Hung [Fri, 7 Jun 2019 18:05:25 +0000 (11:05 -0700)]
Add symbols to AIGER test inputs for ABC

5 years agoAllow muxcover costs to be changed
Eddie Hung [Fri, 7 Jun 2019 15:30:39 +0000 (08:30 -0700)]
Allow muxcover costs to be changed

5 years agoAllow muxcover costs to be changed
Eddie Hung [Fri, 7 Jun 2019 15:30:39 +0000 (08:30 -0700)]
Allow muxcover costs to be changed

5 years agoMerge pull request #1077 from YosysHQ/clifford/pr983
Clifford Wolf [Fri, 7 Jun 2019 11:39:46 +0000 (13:39 +0200)]
Merge pull request #1077 from YosysHQ/clifford/pr983

elaboration system tasks

5 years agoRename implicit_ports.sv test to implicit_ports.v
Clifford Wolf [Fri, 7 Jun 2019 11:12:25 +0000 (13:12 +0200)]
Rename implicit_ports.sv test to implicit_ports.v

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFixes and cleanups in AST_TECALL handling
Clifford Wolf [Fri, 7 Jun 2019 10:41:09 +0000 (12:41 +0200)]
Fixes and cleanups in AST_TECALL handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into clifford/pr983
Clifford Wolf [Fri, 7 Jun 2019 10:08:42 +0000 (12:08 +0200)]
Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into clifford/pr983

5 years agoMerge branch 'tux3-implicit_named_connection'
Clifford Wolf [Fri, 7 Jun 2019 09:53:46 +0000 (11:53 +0200)]
Merge branch 'tux3-implicit_named_connection'

5 years agoMerge pull request #1076 from thasti/centos7-build-fix
Clifford Wolf [Fri, 7 Jun 2019 09:48:33 +0000 (11:48 +0200)]
Merge pull request #1076 from thasti/centos7-build-fix

Fix pyosys-build on CentOS7

5 years agoCleanup tux3-implicit_named_connection
Clifford Wolf [Fri, 7 Jun 2019 09:46:16 +0000 (11:46 +0200)]
Cleanup tux3-implicit_named_connection

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'implicit_named_connection' of https://github.com/tux3/yosys into tux3...
Clifford Wolf [Fri, 7 Jun 2019 09:41:54 +0000 (11:41 +0200)]
Merge branch 'implicit_named_connection' of https://github.com/tux3/yosys into tux3-implicit_named_connection

5 years agoremove boost/log/exceptions.hpp from wrapper generator
Stefan Biereigel [Fri, 7 Jun 2019 07:47:33 +0000 (09:47 +0200)]
remove boost/log/exceptions.hpp from wrapper generator

5 years ago$__XILINX_MUX_ -> $__XILINX_SHIFTX
Eddie Hung [Thu, 6 Jun 2019 22:32:36 +0000 (15:32 -0700)]
$__XILINX_MUX_ -> $__XILINX_SHIFTX

5 years agoFix muxcover and its techmapping
Eddie Hung [Thu, 6 Jun 2019 22:31:18 +0000 (15:31 -0700)]
Fix muxcover and its techmapping

5 years agoRun muxpack and muxcover in synth_xilinx
Eddie Hung [Thu, 6 Jun 2019 21:43:08 +0000 (14:43 -0700)]
Run muxpack and muxcover in synth_xilinx

5 years agoRemove abc_flop attributes for now
Eddie Hung [Thu, 6 Jun 2019 21:35:38 +0000 (14:35 -0700)]
Remove abc_flop attributes for now

5 years agoMerge remote-tracking branch 'origin/eddie/muxpack' into xc7mux
Eddie Hung [Thu, 6 Jun 2019 21:22:10 +0000 (14:22 -0700)]
Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux

5 years agoFix and test for balanced case
Eddie Hung [Thu, 6 Jun 2019 21:21:34 +0000 (14:21 -0700)]
Fix and test for balanced case

5 years agoMerge remote-tracking branch 'origin/eddie/muxpack' into xc7mux
Eddie Hung [Thu, 6 Jun 2019 21:06:59 +0000 (14:06 -0700)]
Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux

5 years agoFix warnings
Eddie Hung [Thu, 6 Jun 2019 21:01:42 +0000 (14:01 -0700)]
Fix warnings

5 years agoSupport cascading $pmux.A with $mux.A and $mux.B
Eddie Hung [Thu, 6 Jun 2019 20:51:22 +0000 (13:51 -0700)]
Support cascading $pmux.A with $mux.A and $mux.B

5 years agoMore cleanup
Eddie Hung [Thu, 6 Jun 2019 19:56:34 +0000 (12:56 -0700)]
More cleanup

5 years agoFix spacing
Eddie Hung [Thu, 6 Jun 2019 19:46:42 +0000 (12:46 -0700)]
Fix spacing

5 years agoNon chain user check using next_sig
Eddie Hung [Thu, 6 Jun 2019 19:44:50 +0000 (12:44 -0700)]
Non chain user check using next_sig

5 years agoAdd non exclusive test
Eddie Hung [Thu, 6 Jun 2019 19:44:06 +0000 (12:44 -0700)]
Add non exclusive test

5 years agoMove muxpack from passes/techmap to passes/opt
Eddie Hung [Thu, 6 Jun 2019 19:15:13 +0000 (12:15 -0700)]
Move muxpack from passes/techmap to passes/opt

5 years agoUpdate doc
Eddie Hung [Thu, 6 Jun 2019 19:11:59 +0000 (12:11 -0700)]
Update doc

5 years agoAdd to CHANGELOG
Eddie Hung [Thu, 6 Jun 2019 19:04:42 +0000 (12:04 -0700)]
Add to CHANGELOG

5 years agoOne more and tidy up
Eddie Hung [Thu, 6 Jun 2019 19:03:44 +0000 (12:03 -0700)]
One more and tidy up

5 years agoAdd a few more special case tests
Eddie Hung [Thu, 6 Jun 2019 18:59:41 +0000 (11:59 -0700)]
Add a few more special case tests

5 years agoAdd tests, fix for !=
Eddie Hung [Thu, 6 Jun 2019 18:54:38 +0000 (11:54 -0700)]
Add tests, fix for !=

5 years agoMissing file
Eddie Hung [Thu, 6 Jun 2019 18:03:45 +0000 (11:03 -0700)]
Missing file

5 years agoInitial adaptation of muxpack from shregmap
Eddie Hung [Thu, 6 Jun 2019 17:51:02 +0000 (10:51 -0700)]
Initial adaptation of muxpack from shregmap

5 years agoSystemVerilog support for implicit named port connections
tux3 [Tue, 4 Jun 2019 22:47:54 +0000 (00:47 +0200)]
SystemVerilog support for implicit named port connections

This is the `foo foo(.port1, .port2);` SystemVerilog syntax
introduced in IEEE1800-2005.

5 years agoMerge pull request #1060 from antmicro/parsing_attr_on_port_conn
Clifford Wolf [Thu, 6 Jun 2019 10:34:05 +0000 (12:34 +0200)]
Merge pull request #1060 from antmicro/parsing_attr_on_port_conn

Added support for parsing attributes on port connections.

5 years agoMerge pull request #1073 from whitequark/ecp5-diamond-iob
David Shah [Thu, 6 Jun 2019 10:22:49 +0000 (11:22 +0100)]
Merge pull request #1073 from whitequark/ecp5-diamond-iob

ECP5: implement most Diamond I/O buffer primitives

5 years agoECP5: implement all Diamond I/O buffer primitives.
whitequark [Thu, 6 Jun 2019 10:03:03 +0000 (10:03 +0000)]
ECP5: implement all Diamond I/O buffer primitives.

5 years agoMerge pull request #1071 from YosysHQ/eddie/fix_1070
Clifford Wolf [Thu, 6 Jun 2019 04:50:12 +0000 (06:50 +0200)]
Merge pull request #1071 from YosysHQ/eddie/fix_1070

Fix typo in opt_rmdff causing register to be incorrectly removed

5 years agoMerge pull request #1072 from YosysHQ/eddie/fix_1069
Clifford Wolf [Thu, 6 Jun 2019 04:49:07 +0000 (06:49 +0200)]
Merge pull request #1072 from YosysHQ/eddie/fix_1069

Error out if no top module given before 'sim'

5 years agoMissing doc for -tech xilinx in shregmap
Eddie Hung [Wed, 5 Jun 2019 21:21:44 +0000 (14:21 -0700)]
Missing doc for -tech xilinx in shregmap

5 years agoError out if no top module given before 'sim'
Eddie Hung [Wed, 5 Jun 2019 21:16:24 +0000 (14:16 -0700)]
Error out if no top module given before 'sim'

5 years agoFix typo in opt_rmdff
Eddie Hung [Wed, 5 Jun 2019 21:08:14 +0000 (14:08 -0700)]
Fix typo in opt_rmdff

5 years agoshregmap -tech xilinx_static to handle INIT
Eddie Hung [Wed, 5 Jun 2019 19:55:59 +0000 (12:55 -0700)]
shregmap -tech xilinx_static to handle INIT

5 years agoContinue support for ShregmapTechXilinx7Static
Eddie Hung [Wed, 5 Jun 2019 19:33:55 +0000 (12:33 -0700)]
Continue support for ShregmapTechXilinx7Static

5 years agoUpdate abc attributes on FD*E_1
Eddie Hung [Wed, 5 Jun 2019 19:33:40 +0000 (12:33 -0700)]
Update abc attributes on FD*E_1

5 years agoCleanup
Eddie Hung [Wed, 5 Jun 2019 19:28:46 +0000 (12:28 -0700)]
Cleanup

5 years agoCall shregmap -tech xilinx_static
Eddie Hung [Wed, 5 Jun 2019 19:28:26 +0000 (12:28 -0700)]
Call shregmap -tech xilinx_static

5 years agoRevert "Move ff_map back after ABC for shregmap"
Eddie Hung [Wed, 5 Jun 2019 18:53:06 +0000 (11:53 -0700)]
Revert "Move ff_map back after ABC for shregmap"

This reverts commit 9b9bd4e19f3da363eb3c90ef27ace282716d2e06.

5 years agoAdd -tech xilinx_static
Eddie Hung [Wed, 5 Jun 2019 18:14:14 +0000 (11:14 -0700)]
Add -tech xilinx_static

5 years agoRefactor to ShregmapTechXilinx7Static
Eddie Hung [Wed, 5 Jun 2019 18:08:08 +0000 (11:08 -0700)]
Refactor to ShregmapTechXilinx7Static

5 years agoshregmap -tech xilinx_dynamic to work -params and -enpol
Eddie Hung [Wed, 5 Jun 2019 17:21:57 +0000 (10:21 -0700)]
shregmap -tech xilinx_dynamic to work -params and -enpol

5 years agoMerge pull request #1067 from YosysHQ/clifford/fix1065
Eddie Hung [Wed, 5 Jun 2019 16:59:05 +0000 (09:59 -0700)]
Merge pull request #1067 from YosysHQ/clifford/fix1065

Suppress driver-driver conflict warning for unknown cell types

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Wed, 5 Jun 2019 16:56:57 +0000 (09:56 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoMerge remote-tracking branch 'origin/clifford/fix1065' into xc7mux
Eddie Hung [Wed, 5 Jun 2019 16:56:51 +0000 (09:56 -0700)]
Merge remote-tracking branch 'origin/clifford/fix1065' into xc7mux

5 years agoFixed memory leak.
Maciej Kurc [Wed, 5 Jun 2019 08:42:43 +0000 (10:42 +0200)]
Fixed memory leak.

Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
5 years agoMerge pull request #1066 from YosysHQ/clifford/fix1056
Clifford Wolf [Wed, 5 Jun 2019 08:37:39 +0000 (10:37 +0200)]
Merge pull request #1066 from YosysHQ/clifford/fix1056

Remove yosys_banner() from python wrapper init

5 years agoMajor rewrite of wire selection in setundef -init
Clifford Wolf [Wed, 5 Jun 2019 08:26:48 +0000 (10:26 +0200)]
Major rewrite of wire selection in setundef -init

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoIndent fix
Clifford Wolf [Wed, 5 Jun 2019 07:53:06 +0000 (09:53 +0200)]
Indent fix

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #999 from jakobwenzel/setundefInitFix
Clifford Wolf [Wed, 5 Jun 2019 07:50:15 +0000 (09:50 +0200)]
Merge pull request #999 from jakobwenzel/setundefInitFix

initialize more registers in setundef -init

5 years agoFix typo in fmcombine log message, fixes #1063
Clifford Wolf [Wed, 5 Jun 2019 07:26:44 +0000 (09:26 +0200)]
Fix typo in fmcombine log message, fixes #1063

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSuppress driver-driver conflict warning for unknown cell types, fixes #1065
Clifford Wolf [Wed, 5 Jun 2019 07:14:12 +0000 (09:14 +0200)]
Suppress driver-driver conflict warning for unknown cell types, fixes #1065

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRemove yosys_banner() from python wrapper init, fixes #1056
Clifford Wolf [Wed, 5 Jun 2019 06:57:33 +0000 (08:57 +0200)]
Remove yosys_banner() from python wrapper init, fixes #1056

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRename shregmap -tech xilinx -> xilinx_dynamic
Eddie Hung [Tue, 4 Jun 2019 21:34:36 +0000 (14:34 -0700)]
Rename shregmap -tech xilinx -> xilinx_dynamic

5 years agoAdd log_assert to ensure no loops
Eddie Hung [Tue, 4 Jun 2019 19:01:25 +0000 (12:01 -0700)]
Add log_assert to ensure no loops

5 years agoOnly toposort builtin and abc types
Eddie Hung [Tue, 4 Jun 2019 18:56:58 +0000 (11:56 -0700)]
Only toposort builtin and abc types

5 years agoAdd space between -D and _ABC
Eddie Hung [Tue, 4 Jun 2019 18:54:08 +0000 (11:54 -0700)]
Add space between -D and _ABC

5 years agoAdd (* abc_flop_q *) to brams_bb.v
Eddie Hung [Tue, 4 Jun 2019 18:53:51 +0000 (11:53 -0700)]
Add (* abc_flop_q *) to brams_bb.v

5 years agoFix name clash
Eddie Hung [Tue, 4 Jun 2019 16:56:36 +0000 (09:56 -0700)]
Fix name clash

5 years agoAdd mux_map.v for wide mux
Eddie Hung [Tue, 4 Jun 2019 16:51:47 +0000 (09:51 -0700)]
Add mux_map.v for wide mux

5 years agoMerge pull request #1062 from tux3/patch-1
Clifford Wolf [Tue, 4 Jun 2019 12:37:10 +0000 (14:37 +0200)]
Merge pull request #1062 from tux3/patch-1

README.md: Missing formatting for <tag>

5 years agoREADME.md: Missing formatting for <tag>
Tux3 [Tue, 4 Jun 2019 08:45:41 +0000 (10:45 +0200)]
README.md: Missing formatting for <tag>

5 years agoMoved tests that fail with Icarus Verilog to /tests/various. Those tests are just...
Maciej Kurc [Tue, 4 Jun 2019 08:42:42 +0000 (10:42 +0200)]
Moved tests that fail with Icarus Verilog to /tests/various. Those tests are just for parsing Verilog.

Signed-off-by: Maciej Kurc <mkurc@antmicro.com>