yosys.git
8 years agoConverted synth_greenpak4 to ScriptPass
Clifford Wolf [Sat, 23 Apr 2016 08:27:33 +0000 (10:27 +0200)]
Converted synth_greenpak4 to ScriptPass

8 years agoAdded "shregmap -tech greenpak4"
Clifford Wolf [Fri, 22 Apr 2016 17:42:08 +0000 (19:42 +0200)]
Added "shregmap -tech greenpak4"

8 years agoAdded support for "active high" and "active low" latches in BLIF front-end
Clifford Wolf [Fri, 22 Apr 2016 16:02:55 +0000 (18:02 +0200)]
Added support for "active high" and "active low" latches in BLIF front-end

8 years agoAdded support for "active high" and "active low" latches in BLIF back-end
Clifford Wolf [Fri, 22 Apr 2016 16:00:46 +0000 (18:00 +0200)]
Added support for "active high" and "active low" latches in BLIF back-end

8 years agoMore flexible handling of initialization values
Clifford Wolf [Fri, 22 Apr 2016 10:13:06 +0000 (12:13 +0200)]
More flexible handling of initialization values

8 years agoAdded "yosys -D" feature
Clifford Wolf [Thu, 21 Apr 2016 21:28:37 +0000 (23:28 +0200)]
Added "yosys -D" feature

8 years agoFixed performance bug in "share" pass
Clifford Wolf [Thu, 21 Apr 2016 17:47:25 +0000 (19:47 +0200)]
Fixed performance bug in "share" pass

8 years agoFixed handling of parameters and const functions in casex/casez pattern
Clifford Wolf [Thu, 21 Apr 2016 13:31:54 +0000 (15:31 +0200)]
Fixed handling of parameters and const functions in casex/casez pattern

8 years agoImprovements in opt_expr
Clifford Wolf [Thu, 21 Apr 2016 11:02:56 +0000 (13:02 +0200)]
Improvements in opt_expr

8 years agoBugfix and improvements in memory_share
Clifford Wolf [Thu, 21 Apr 2016 10:06:07 +0000 (12:06 +0200)]
Bugfix and improvements in memory_share

8 years agoMerge pull request #149 from azonenberg/master
Clifford Wolf [Tue, 19 Apr 2016 08:37:04 +0000 (10:37 +0200)]
Merge pull request #149 from azonenberg/master

GP_RCOSC and GP_SHREG cells plus some cleanup

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Tue, 19 Apr 2016 02:22:52 +0000 (19:22 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoAdded "shregmap -params"
Clifford Wolf [Mon, 18 Apr 2016 09:58:21 +0000 (11:58 +0200)]
Added "shregmap -params"

8 years agoAdded "shregmap -zinit" and "shregmap -init"
Clifford Wolf [Mon, 18 Apr 2016 09:44:10 +0000 (11:44 +0200)]
Added "shregmap -zinit" and "shregmap -init"

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sun, 17 Apr 2016 15:15:34 +0000 (08:15 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoImprovements in "shregmap"
Clifford Wolf [Sun, 17 Apr 2016 13:37:22 +0000 (15:37 +0200)]
Improvements in "shregmap"

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sat, 16 Apr 2016 22:14:32 +0000 (15:14 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoAdded "shregmap" pass
Clifford Wolf [Sat, 16 Apr 2016 21:20:49 +0000 (23:20 +0200)]
Added "shregmap" pass

8 years agoFixed copy&paste error in log message in lut2mux
Clifford Wolf [Sat, 16 Apr 2016 21:20:34 +0000 (23:20 +0200)]
Fixed copy&paste error in log message in lut2mux

8 years agoMinor hashlib bugfix
Clifford Wolf [Sat, 16 Apr 2016 21:20:11 +0000 (23:20 +0200)]
Minor hashlib bugfix

8 years agoAdded GP_SHREG cell
Andrew Zonenberg [Thu, 14 Apr 2016 06:13:51 +0000 (23:13 -0700)]
Added GP_SHREG cell

8 years agoRefactoring: alphabetized cells_sim
Andrew Zonenberg [Thu, 14 Apr 2016 06:13:39 +0000 (23:13 -0700)]
Refactoring: alphabetized cells_sim

8 years agoFixed missing semicolon
Andrew Zonenberg [Sat, 9 Apr 2016 08:18:02 +0000 (01:18 -0700)]
Fixed missing semicolon

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sat, 9 Apr 2016 08:17:24 +0000 (01:17 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoAdded GP_RCOSC cell
Andrew Zonenberg [Sat, 9 Apr 2016 08:17:13 +0000 (01:17 -0700)]
Added GP_RCOSC cell

8 years agoMerge pull request #147 from azonenberg/master
Clifford Wolf [Fri, 8 Apr 2016 09:58:40 +0000 (11:58 +0200)]
Merge pull request #147 from azonenberg/master

Added GP_BANDGAP, GP_POR, GP_RINGOSC primitives

8 years agoFixed assertion failure for non-inferrable counters in some cases
Andrew Zonenberg [Thu, 7 Apr 2016 06:42:22 +0000 (23:42 -0700)]
Fixed assertion failure for non-inferrable counters in some cases

8 years agoAdded second divider to GP_RINGOSC
Andrew Zonenberg [Thu, 7 Apr 2016 06:10:34 +0000 (23:10 -0700)]
Added second divider to GP_RINGOSC

8 years agoAdded GP_RINGOSC primitive
Andrew Zonenberg [Thu, 7 Apr 2016 05:40:25 +0000 (22:40 -0700)]
Added GP_RINGOSC primitive

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Thu, 7 Apr 2016 05:31:22 +0000 (22:31 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoHashlib indenting fix
Clifford Wolf [Tue, 5 Apr 2016 11:25:23 +0000 (13:25 +0200)]
Hashlib indenting fix

8 years agoAdded msan origins tracking
Clifford Wolf [Tue, 5 Apr 2016 11:25:05 +0000 (13:25 +0200)]
Added msan origins tracking

8 years agoPrefer noninverting FFs in dfflibmap
Clifford Wolf [Tue, 5 Apr 2016 10:51:04 +0000 (12:51 +0200)]
Prefer noninverting FFs in dfflibmap

8 years agoImproved formatting of "sat" output tables
Clifford Wolf [Tue, 5 Apr 2016 06:26:10 +0000 (08:26 +0200)]
Improved formatting of "sat" output tables

8 years agoFixed some typos
Clifford Wolf [Tue, 5 Apr 2016 06:18:21 +0000 (08:18 +0200)]
Fixed some typos

8 years agoAdded GP_POR
Andrew Zonenberg [Tue, 5 Apr 2016 04:46:07 +0000 (21:46 -0700)]
Added GP_POR

8 years agoAdded GP_BANDGAP cell
Andrew Zonenberg [Mon, 4 Apr 2016 23:56:43 +0000 (16:56 -0700)]
Added GP_BANDGAP cell

8 years agoMerge pull request #145 from laanwj/master
Clifford Wolf [Sun, 3 Apr 2016 15:16:26 +0000 (17:16 +0200)]
Merge pull request #145 from laanwj/master

Add instructions for building manual on Ubuntu

8 years agoFix a few typos in the manual
Wladimir J. van der Laan [Sun, 3 Apr 2016 12:26:56 +0000 (14:26 +0200)]
Fix a few typos in the manual

8 years agoAdd instructions for building manual on Ubuntu
Wladimir J. van der Laan [Sun, 3 Apr 2016 12:26:46 +0000 (14:26 +0200)]
Add instructions for building manual on Ubuntu

8 years agoMerge pull request #144 from azonenberg/master
Clifford Wolf [Sat, 2 Apr 2016 08:19:36 +0000 (10:19 +0200)]
Merge pull request #144 from azonenberg/master

Added COUNT_EXTRACT constraint to greenpak4_counters pass. Added support for inferring level-resettable counters. Fixed use-after-free.

8 years agoRemoved more debug prints
Andrew Zonenberg [Sat, 2 Apr 2016 06:41:03 +0000 (23:41 -0700)]
Removed more debug prints

8 years agoRemoved forgotten debug code
Andrew Zonenberg [Sat, 2 Apr 2016 06:39:32 +0000 (23:39 -0700)]
Removed forgotten debug code

8 years agoAdded GreenPak inverter support
Andrew Zonenberg [Sat, 2 Apr 2016 04:18:29 +0000 (21:18 -0700)]
Added GreenPak inverter support

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Sat, 2 Apr 2016 01:09:08 +0000 (18:09 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoAdded support for inferring counters with asynchronous resets. Fixed use-after-free...
Andrew Zonenberg [Sat, 2 Apr 2016 01:07:59 +0000 (18:07 -0700)]
Added support for inferring counters with asynchronous resets. Fixed use-after-free in inference pass.

8 years agoMerge pull request #143 from azonenberg/master
Clifford Wolf [Fri, 1 Apr 2016 07:13:52 +0000 (09:13 +0200)]
Merge pull request #143 from azonenberg/master

Fixed several techmapping issues irelated to greenpak flipflops

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Fri, 1 Apr 2016 07:03:00 +0000 (00:03 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoDFFINIT is now correctly called for all kinds of flipflop, not just DFF
Andrew Zonenberg [Fri, 1 Apr 2016 06:16:45 +0000 (23:16 -0700)]
DFFINIT is now correctly called for all kinds of flipflop, not just DFF

8 years agoFixed incorrect port name in cells_map.v
Andrew Zonenberg [Fri, 1 Apr 2016 05:51:22 +0000 (22:51 -0700)]
Fixed incorrect port name in cells_map.v

8 years agoAdded ScriptPass helper class for script-like passes
Clifford Wolf [Thu, 31 Mar 2016 09:16:34 +0000 (11:16 +0200)]
Added ScriptPass helper class for script-like passes

8 years agoImproved opt_merge support for $pmux cells
Clifford Wolf [Thu, 31 Mar 2016 07:58:55 +0000 (09:58 +0200)]
Improved opt_merge support for $pmux cells

8 years agoAdded log_dump() support for dict<> and pool<> containers
Clifford Wolf [Thu, 31 Mar 2016 07:57:44 +0000 (09:57 +0200)]
Added log_dump() support for dict<> and pool<> containers

8 years agoPreserve empty $pmux default cases
Clifford Wolf [Thu, 31 Mar 2016 07:57:23 +0000 (09:57 +0200)]
Preserve empty $pmux default cases

8 years agoTypo fixes in opt_expr and opt_merge
Clifford Wolf [Thu, 31 Mar 2016 07:56:56 +0000 (09:56 +0200)]
Typo fixes in opt_expr and opt_merge

8 years agoFixed typo (wasn't written in 2012)
Andrew Zonenberg [Thu, 31 Mar 2016 06:58:45 +0000 (23:58 -0700)]
Fixed typo (wasn't written in 2012)

8 years agoRenamed opt_share to opt_merge
Clifford Wolf [Thu, 31 Mar 2016 06:52:49 +0000 (08:52 +0200)]
Renamed opt_share to opt_merge

8 years agoRenamed opt_const to opt_expr
Clifford Wolf [Thu, 31 Mar 2016 06:43:28 +0000 (08:43 +0200)]
Renamed opt_const to opt_expr

8 years agoFixed typo in greenpak4_counters.cc
Clifford Wolf [Thu, 31 Mar 2016 06:00:59 +0000 (08:00 +0200)]
Fixed typo in greenpak4_counters.cc

8 years agoMerge pull request #142 from azonenberg/master
Clifford Wolf [Thu, 31 Mar 2016 05:59:55 +0000 (07:59 +0200)]
Merge pull request #142 from azonenberg/master

Add initial GreenPak4 counter inference, misc related fixes

8 years agoRenamed counters pass to greenpak4_counters
Andrew Zonenberg [Thu, 31 Mar 2016 05:52:01 +0000 (22:52 -0700)]
Renamed counters pass to greenpak4_counters

8 years agoAdded initial implementation of "counters" pass to synth_greenpak4. Can only infer...
Andrew Zonenberg [Thu, 31 Mar 2016 05:40:14 +0000 (22:40 -0700)]
Added initial implementation of "counters" pass to synth_greenpak4. Can only infer non-resettable down counters for now.

8 years agoReduced log verbosity
Andrew Zonenberg [Thu, 31 Mar 2016 05:03:50 +0000 (22:03 -0700)]
Reduced log verbosity

8 years agoContinued work on counter extraction. Can recognize compatible RTL counters but not...
Andrew Zonenberg [Thu, 31 Mar 2016 04:54:23 +0000 (21:54 -0700)]
Continued work on counter extraction. Can recognize compatible RTL counters but not replace with hard macros.

8 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Thu, 31 Mar 2016 03:38:18 +0000 (20:38 -0700)]
Merge https://github.com/cliffordwolf/yosys

8 years agoUpdated tech lib for greenpak4 counter with some clarifications
Andrew Zonenberg [Thu, 31 Mar 2016 03:30:25 +0000 (20:30 -0700)]
Updated tech lib for greenpak4 counter with some clarifications

8 years agoFixed typo in log message
Andrew Zonenberg [Thu, 31 Mar 2016 03:30:03 +0000 (20:30 -0700)]
Fixed typo in log message

8 years agoWe have 2016 for a while now
Clifford Wolf [Wed, 30 Mar 2016 11:52:26 +0000 (13:52 +0200)]
We have 2016 for a while now

8 years agoAdded .vhd file extension support
Clifford Wolf [Wed, 30 Mar 2016 11:24:49 +0000 (13:24 +0200)]
Added .vhd file extension support

8 years agoInitial work on greenpak4 counter extraction. Doesn't work but a decent start
Andrew Zonenberg [Wed, 30 Mar 2016 08:07:20 +0000 (01:07 -0700)]
Initial work on greenpak4 counter extraction. Doesn't work but a decent start

8 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Wed, 30 Mar 2016 08:02:18 +0000 (10:02 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

8 years agoAdded support for installed plugins
Clifford Wolf [Wed, 30 Mar 2016 08:02:03 +0000 (10:02 +0200)]
Added support for installed plugins

8 years agoAdded splitnets to synth_greenpak4
Andrew Zonenberg [Wed, 30 Mar 2016 03:02:59 +0000 (20:02 -0700)]
Added splitnets to synth_greenpak4

8 years agoAdded more cell help messages
Clifford Wolf [Tue, 29 Mar 2016 13:12:14 +0000 (15:12 +0200)]
Added more cell help messages

8 years agoFixed indenting in techlibs/greenpak4/gp_dff.lib
Clifford Wolf [Tue, 29 Mar 2016 11:44:14 +0000 (13:44 +0200)]
Fixed indenting in techlibs/greenpak4/gp_dff.lib

8 years agoMerge pull request #141 from azonenberg/master
Clifford Wolf [Tue, 29 Mar 2016 07:53:35 +0000 (09:53 +0200)]
Merge pull request #141 from azonenberg/master

Add Greenpak4 SYSRESET block support

8 years agoAdded keep constraint to GP_SYSRESET cell
Andrew Zonenberg [Tue, 29 Mar 2016 06:16:43 +0000 (23:16 -0700)]
Added keep constraint to GP_SYSRESET cell

8 years agoAdded GP_SYSRESET block
Andrew Zonenberg [Tue, 29 Mar 2016 05:49:46 +0000 (22:49 -0700)]
Added GP_SYSRESET block

8 years agoMerge pull request #137 from ravenexp/master
Clifford Wolf [Mon, 28 Mar 2016 14:54:23 +0000 (16:54 +0200)]
Merge pull request #137 from ravenexp/master

Embed DATDIR make variable value into yosys binary.

8 years agoMerge pull request #138 from SebKuzminsky/help-typo
Clifford Wolf [Mon, 28 Mar 2016 14:53:47 +0000 (16:53 +0200)]
Merge pull request #138 from SebKuzminsky/help-typo

fix a cut-n-paste error in the -h help

8 years agoMerge pull request #139 from azonenberg/master
Clifford Wolf [Mon, 28 Mar 2016 14:53:24 +0000 (16:53 +0200)]
Merge pull request #139 from azonenberg/master

Add GreenPak4 LF oscillator support, renamed internal cell for consistency

8 years agoAdded GP_COUNT8/GP_COUNT14 cells
Andrew Zonenberg [Sun, 27 Mar 2016 06:29:02 +0000 (23:29 -0700)]
Added GP_COUNT8/GP_COUNT14 cells

8 years agoChanged GP_LFOSC parameter configuration
Andrew Zonenberg [Sat, 26 Mar 2016 21:13:52 +0000 (14:13 -0700)]
Changed GP_LFOSC parameter configuration

8 years agoAdded GP_LFOSC cell
Andrew Zonenberg [Sat, 26 Mar 2016 20:42:53 +0000 (13:42 -0700)]
Added GP_LFOSC cell

8 years agoRenamed GP4_V* cells to GP_V* for consistency
Andrew Zonenberg [Sat, 26 Mar 2016 20:42:41 +0000 (13:42 -0700)]
Renamed GP4_V* cells to GP_V* for consistency

8 years agofix a cut-n-paste error in the -h help
Sebastian Kuzminsky [Sat, 26 Mar 2016 17:15:35 +0000 (11:15 -0600)]
fix a cut-n-paste error in the -h help

8 years agoEmbed DATDIR make variable value into yosys binary.
Sergey Kvachonok [Sat, 26 Mar 2016 08:01:53 +0000 (11:01 +0300)]
Embed DATDIR make variable value into yosys binary.

Use it as the last resort in the share/ directory location search.

8 years agoMerge pull request #136 from ravenexp/master
Clifford Wolf [Fri, 25 Mar 2016 08:16:45 +0000 (09:16 +0100)]
Merge pull request #136 from ravenexp/master

Minor Makefile adjustments

8 years agoOptionally use ${CC} when compiling test utils.
Sergey Kvachonok [Fri, 25 Mar 2016 05:47:45 +0000 (08:47 +0300)]
Optionally use ${CC} when compiling test utils.

Default to gcc when not set.

8 years agoAllow redefining pkg-config Makefile command.
Sergey Kvachonok [Thu, 24 Mar 2016 13:07:05 +0000 (16:07 +0300)]
Allow redefining pkg-config Makefile command.

Example usage:

$ make CXX=i686-w64-mingw32-g++ PKG_CONFIG=i686-w64-mingw32-pkg-config

8 years agoAllow redefining binary and data install locations.
Sergey Kvachonok [Thu, 24 Mar 2016 09:18:21 +0000 (12:18 +0300)]
Allow redefining binary and data install locations.

Add three more Makefile variables in addition to PREFIX:

$ make BINDIR=/.../bin LIBDIR=/.../lib DATDIR=/.../share/yosys

The defaults are:

BINDIR = $(PREFIX)/bin
LIBDIR = $(PREFIX)/lib
DATDIR = $(PREFIX)/share/yosys

8 years agoDo not set "nosync" on task outputs, fixes #134
Clifford Wolf [Thu, 24 Mar 2016 11:16:32 +0000 (12:16 +0100)]
Do not set "nosync" on task outputs, fixes #134

8 years agoFixed handling of inverters (aka 1-input luts) in nlutmap
Clifford Wolf [Wed, 23 Mar 2016 07:56:08 +0000 (08:56 +0100)]
Fixed handling of inverters (aka 1-input luts) in nlutmap

8 years agoAdded GP_DFFS, GP_DFFR, and GP_DFFSR
Clifford Wolf [Wed, 23 Mar 2016 07:46:10 +0000 (08:46 +0100)]
Added GP_DFFS, GP_DFFR, and GP_DFFSR

8 years agoAdded GP_DFF INIT parameter
Clifford Wolf [Wed, 23 Mar 2016 07:12:54 +0000 (08:12 +0100)]
Added GP_DFF INIT parameter

8 years agoAdded ast.h to exported headers
Clifford Wolf [Tue, 22 Mar 2016 13:46:10 +0000 (14:46 +0100)]
Added ast.h to exported headers

8 years agoCleanup abstract modules at end of "hierarchy -top"
Clifford Wolf [Mon, 21 Mar 2016 15:33:34 +0000 (16:33 +0100)]
Cleanup abstract modules at end of "hierarchy -top"

8 years agoSupport for abstract modules in chparam
Clifford Wolf [Mon, 21 Mar 2016 15:30:55 +0000 (16:30 +0100)]
Support for abstract modules in chparam

8 years agoAdded support for $stop system task
Clifford Wolf [Mon, 21 Mar 2016 15:19:51 +0000 (16:19 +0100)]
Added support for $stop system task

8 years agoImprovements in synth_greenpak4, added -part option
Clifford Wolf [Mon, 21 Mar 2016 08:44:52 +0000 (09:44 +0100)]
Improvements in synth_greenpak4, added -part option