yosys.git
2 years agoMerge pull request #3249 from YosysHQ/micko/no_startoffset
Miodrag Milanović [Fri, 25 Mar 2022 13:29:21 +0000 (14:29 +0100)]
Merge pull request #3249 from YosysHQ/micko/no_startoffset

Add -no-startoffset option to write_aiger

2 years agoAdd -no-startoffset option to write_aiger
Miodrag Milanovic [Fri, 25 Mar 2022 07:44:45 +0000 (08:44 +0100)]
Add -no-startoffset option to write_aiger

2 years agoBump version
github-actions[bot] [Fri, 25 Mar 2022 00:13:36 +0000 (00:13 +0000)]
Bump version

2 years agoMerge pull request #3243 from nakengelhardt/fix_aiw_comment
Miodrag Milanović [Thu, 24 Mar 2022 16:25:09 +0000 (17:25 +0100)]
Merge pull request #3243 from nakengelhardt/fix_aiw_comment

smtbmc: ignore # comment lines

2 years agoignore # comment lines
N. Engelhardt [Thu, 24 Mar 2022 09:19:17 +0000 (10:19 +0100)]
ignore # comment lines

2 years agoBump version
github-actions[bot] [Wed, 23 Mar 2022 00:14:55 +0000 (00:14 +0000)]
Bump version

2 years agoUpdate abc with latest fix
Miodrag Milanovic [Tue, 22 Mar 2022 17:47:48 +0000 (18:47 +0100)]
Update abc with latest fix

2 years agoProper SigBit forming in sim
Miodrag Milanovic [Tue, 22 Mar 2022 13:43:18 +0000 (14:43 +0100)]
Proper SigBit forming in sim

2 years agoProper SigBit forming in sim
Miodrag Milanovic [Tue, 22 Mar 2022 13:22:32 +0000 (14:22 +0100)]
Proper SigBit forming in sim

2 years agoBump version
github-actions[bot] [Tue, 22 Mar 2022 00:15:19 +0000 (00:15 +0000)]
Bump version

2 years agoxilinx: Add RAMB4* blackboxes
Marcelina Kościelnicka [Mon, 21 Mar 2022 10:38:21 +0000 (11:38 +0100)]
xilinx: Add RAMB4* blackboxes

2 years agoBump version
github-actions[bot] [Sat, 19 Mar 2022 00:12:57 +0000 (00:12 +0000)]
Bump version

2 years agoMore verbose warnings
Miodrag Milanovic [Fri, 18 Mar 2022 13:47:35 +0000 (14:47 +0100)]
More verbose warnings

2 years agoMerge pull request #3236 from YosysHQ/micko/tb_initial
Miodrag Milanović [Thu, 17 Mar 2022 16:15:36 +0000 (17:15 +0100)]
Merge pull request #3236 from YosysHQ/micko/tb_initial

Recognize registers and set initial state for them in tb

2 years agoBump version
github-actions[bot] [Thu, 17 Mar 2022 00:13:12 +0000 (00:13 +0000)]
Bump version

2 years agoRecognize registers and set initial state for them in tb
Miodrag Milanovic [Wed, 16 Mar 2022 13:35:39 +0000 (14:35 +0100)]
Recognize registers and set initial state for them in tb

2 years agoUpdate sim help message.
Miodrag Milanovic [Wed, 16 Mar 2022 06:55:57 +0000 (07:55 +0100)]
Update sim help message.

2 years agoBump version
github-actions[bot] [Tue, 15 Mar 2022 01:09:43 +0000 (01:09 +0000)]
Bump version

2 years agogowin: add support for Double Data Rate primitives
YRabbit [Mon, 14 Mar 2022 21:41:30 +0000 (07:41 +1000)]
gowin: add support for Double Data Rate primitives

Signed-off-by: YRabbit <rabbit@yrabbit.cyou>
2 years agoMerge pull request #3232 from YosysHQ/micko/fst2tb
Miodrag Milanović [Mon, 14 Mar 2022 19:01:55 +0000 (20:01 +0100)]
Merge pull request #3232 from YosysHQ/micko/fst2tb

Added fst2tb pass for generating testbench

2 years agoAdded fst2tb pass for generating testbench
Miodrag Milanovic [Mon, 14 Mar 2022 18:06:29 +0000 (19:06 +0100)]
Added fst2tb pass for generating testbench

2 years agoMerge pull request #3213 from antonblanchard/abc-typo
Claire Xen [Mon, 14 Mar 2022 15:05:23 +0000 (16:05 +0100)]
Merge pull request #3213 from antonblanchard/abc-typo

abc: Fix {I} and {P} substitution

2 years agoProper example code
Miodrag Milanovic [Mon, 14 Mar 2022 14:39:11 +0000 (15:39 +0100)]
Proper example code

2 years agoBump version
github-actions[bot] [Sat, 12 Mar 2022 01:02:32 +0000 (01:02 +0000)]
Bump version

2 years agoMerge pull request #3229 from YosysHQ/micko/sim_date
Miodrag Milanović [Fri, 11 Mar 2022 18:02:57 +0000 (19:02 +0100)]
Merge pull request #3229 from YosysHQ/micko/sim_date

Add date parameter to enable full date/time and version info

2 years agoMerge pull request #3222 from zachjs/prune-linux-ci
Miodrag Milanović [Fri, 11 Mar 2022 18:02:37 +0000 (19:02 +0100)]
Merge pull request #3222 from zachjs/prune-linux-ci

Prune Linux CI builds

2 years agoMerge pull request #3228 from YosysHQ/micko/disable_tests
Miodrag Milanović [Fri, 11 Mar 2022 18:02:19 +0000 (19:02 +0100)]
Merge pull request #3228 from YosysHQ/micko/disable_tests

Disable tests on most of platforms

2 years agoAdd "sim -q" option
Claire Xenia Wolf [Fri, 11 Mar 2022 15:26:11 +0000 (16:26 +0100)]
Add "sim -q" option

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoAdd date parameter to enable full date/time and version info
Miodrag Milanovic [Fri, 11 Mar 2022 15:01:59 +0000 (16:01 +0100)]
Add date parameter to enable full date/time and version info

2 years agoSmall fix in "sim" help message
Claire Xenia Wolf [Fri, 11 Mar 2022 14:36:23 +0000 (15:36 +0100)]
Small fix in "sim" help message

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoMerge pull request #3226 from YosysHQ/micko/btor2witness
Miodrag Milanović [Fri, 11 Mar 2022 14:29:34 +0000 (15:29 +0100)]
Merge pull request #3226 from YosysHQ/micko/btor2witness

Sim support for btor2 witness files

2 years agoFstData already do conversion to VCD
Miodrag Milanovic [Fri, 11 Mar 2022 14:21:36 +0000 (15:21 +0100)]
FstData already do conversion to VCD

2 years agoSupport cell name in btor witness file
Miodrag Milanovic [Fri, 11 Mar 2022 14:11:14 +0000 (15:11 +0100)]
Support cell name in btor witness file

2 years agoFix handling of some formal cells in btor back-end
Claire Xenia Wolf [Fri, 11 Mar 2022 13:21:12 +0000 (14:21 +0100)]
Fix handling of some formal cells in btor back-end

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agohandle state names of $anyconst and $anyseq
Miodrag Milanovic [Fri, 11 Mar 2022 13:04:02 +0000 (14:04 +0100)]
handle state names of $anyconst and $anyseq

2 years agoPrune Linux CI builds
Zachary Snow [Tue, 1 Mar 2022 09:20:59 +0000 (10:20 +0100)]
Prune Linux CI builds

2 years agoProper write of memory data
Miodrag Milanovic [Fri, 11 Mar 2022 10:19:53 +0000 (11:19 +0100)]
Proper write of memory data

2 years agoDisable tests on most of platforms
Miodrag Milanovic [Thu, 10 Mar 2022 10:05:00 +0000 (11:05 +0100)]
Disable tests on most of platforms

2 years agoBump version
github-actions[bot] [Thu, 10 Mar 2022 01:11:52 +0000 (01:11 +0000)]
Bump version

2 years agointel_alm: M10K write-enable is negative-true
Lofty [Wed, 9 Mar 2022 16:40:32 +0000 (16:40 +0000)]
intel_alm: M10K write-enable is negative-true

2 years agoStart work on memory init
Miodrag Milanovic [Wed, 9 Mar 2022 17:34:02 +0000 (18:34 +0100)]
Start work on memory init

2 years agoFixes and error check
Miodrag Milanovic [Wed, 9 Mar 2022 08:48:29 +0000 (09:48 +0100)]
Fixes and error check

2 years agocleanup
Miodrag Milanovic [Mon, 7 Mar 2022 15:32:32 +0000 (16:32 +0100)]
cleanup

2 years agoError checks for aiger witness
Miodrag Milanovic [Mon, 7 Mar 2022 14:00:14 +0000 (15:00 +0100)]
Error checks for aiger witness

2 years agobtor2 witness co-simulation
Miodrag Milanovic [Mon, 7 Mar 2022 12:59:36 +0000 (13:59 +0100)]
btor2 witness co-simulation

2 years agoMerge pull request #3210 from rqou/json-signed
Miodrag Milanović [Mon, 7 Mar 2022 08:41:25 +0000 (09:41 +0100)]
Merge pull request #3210 from rqou/json-signed

json: Add help message for `signed` field

2 years agoBump version
github-actions[bot] [Sat, 5 Mar 2022 01:06:31 +0000 (01:06 +0000)]
Bump version

2 years agoMerge pull request #3186 from nakengelhardt/smtbmc_sby_print_id
Miodrag Milanović [Fri, 4 Mar 2022 15:39:12 +0000 (16:39 +0100)]
Merge pull request #3186 from nakengelhardt/smtbmc_sby_print_id

add argument for printing cell names in yosys-smtbmc

2 years agoMerge pull request #3206 from YosysHQ/micko/quote_remove
Miodrag Milanović [Fri, 4 Mar 2022 15:39:01 +0000 (16:39 +0100)]
Merge pull request #3206 from YosysHQ/micko/quote_remove

Remove quotes if any from attribute

2 years agoMerge pull request #3207 from nakengelhardt/json_escape_quotes
Miodrag Milanović [Fri, 4 Mar 2022 12:57:32 +0000 (13:57 +0100)]
Merge pull request #3207 from nakengelhardt/json_escape_quotes

fix handling of escaped chars in json backend and frontend (mostly)

2 years agoNext dev cycle
Miodrag Milanovic [Fri, 4 Mar 2022 10:37:18 +0000 (11:37 +0100)]
Next dev cycle

2 years agoRelease version 0.15 yosys-0.15
Miodrag Milanovic [Fri, 4 Mar 2022 10:36:03 +0000 (11:36 +0100)]
Release version 0.15

2 years agoUpdate ABC
Miodrag Milanovic [Fri, 4 Mar 2022 10:32:15 +0000 (11:32 +0100)]
Update ABC

2 years agoUpdate documentation
Miodrag Milanovic [Fri, 4 Mar 2022 09:56:33 +0000 (10:56 +0100)]
Update documentation

2 years agoMerge pull request #3219 from YosysHQ/micko/quick_vcd
Miodrag Milanović [Fri, 4 Mar 2022 09:42:14 +0000 (10:42 +0100)]
Merge pull request #3219 from YosysHQ/micko/quick_vcd

VCD reader support by using external tool

2 years agoMerge pull request #3220 from YosysHQ/claire/simstuff
Miodrag Milanović [Fri, 4 Mar 2022 09:41:02 +0000 (10:41 +0100)]
Merge pull request #3220 from YosysHQ/claire/simstuff

Add writing of aiw files to "sim" command

2 years agoBump version
github-actions[bot] [Thu, 3 Mar 2022 01:08:21 +0000 (01:08 +0000)]
Bump version

2 years agoAdd option to ignore X only signals in output
Miodrag Milanovic [Wed, 2 Mar 2022 15:02:13 +0000 (16:02 +0100)]
Add option to ignore X only signals in output

2 years agoWrite simulation files after simulation is performed
Miodrag Milanovic [Wed, 2 Mar 2022 14:23:07 +0000 (15:23 +0100)]
Write simulation files after simulation is performed

2 years agoUpdate CHANGELOG
Miodrag Milanovic [Wed, 2 Mar 2022 13:26:15 +0000 (14:26 +0100)]
Update CHANGELOG

2 years agoMerge pull request #3224 from YosysHQ/micko/refactor
Claire Xen [Wed, 2 Mar 2022 12:52:18 +0000 (13:52 +0100)]
Merge pull request #3224 from YosysHQ/micko/refactor

Micko/refactor

2 years agoCleanup
Miodrag Milanovic [Wed, 2 Mar 2022 08:39:22 +0000 (09:39 +0100)]
Cleanup

2 years agoBump version
github-actions[bot] [Tue, 1 Mar 2022 01:12:24 +0000 (01:12 +0000)]
Bump version

2 years agoRefactor sim output writers
Miodrag Milanovic [Mon, 28 Feb 2022 17:22:39 +0000 (18:22 +0100)]
Refactor sim output writers

2 years agoQuick fix
Miodrag Milanovic [Mon, 28 Feb 2022 10:40:06 +0000 (11:40 +0100)]
Quick fix

2 years agoAdd writing of aiw files to "sim" command
Claire Xenia Wolf [Mon, 28 Feb 2022 09:50:08 +0000 (10:50 +0100)]
Add writing of aiw files to "sim" command

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoHotfix in AIGER witness reader state machine
Claire Xenia Wolf [Mon, 28 Feb 2022 09:41:44 +0000 (10:41 +0100)]
Hotfix in AIGER witness reader state machine

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoVCD reader support by using external tool
Miodrag Milanovic [Mon, 28 Feb 2022 08:09:07 +0000 (09:09 +0100)]
VCD reader support by using external tool

2 years agoMerge pull request #3216 from YosysHQ/claire/simstuff
Miodrag Milanović [Mon, 28 Feb 2022 07:19:54 +0000 (08:19 +0100)]
Merge pull request #3216 from YosysHQ/claire/simstuff

Co-simulation improvements and fixes

2 years agoSupport extended aiw format
Miodrag Milanovic [Sun, 27 Feb 2022 15:37:40 +0000 (16:37 +0100)]
Support extended aiw format

2 years agoFix for last clock edge data
Miodrag Milanovic [Fri, 25 Feb 2022 15:15:32 +0000 (16:15 +0100)]
Fix for last clock edge data

2 years agoExperimental sim changes
Claire Xenia Wolf [Fri, 25 Feb 2022 14:50:46 +0000 (15:50 +0100)]
Experimental sim changes

2 years agoBump version
github-actions[bot] [Fri, 25 Feb 2022 01:04:22 +0000 (01:04 +0000)]
Bump version

2 years agogowin: Remove unnecessary attributes
YRabbit [Thu, 24 Feb 2022 02:33:55 +0000 (12:33 +1000)]
gowin: Remove unnecessary attributes

Signed-off-by: YRabbit <rabbit@yrabbit.cyou>
2 years agogowin: Add support for true differential output
YRabbit [Wed, 23 Feb 2022 06:11:47 +0000 (16:11 +1000)]
gowin: Add support for true differential output

Signed-off-by: YRabbit <rabbit@yrabbit.cyou>
2 years agoabc: Fix {I} and {P} substitution
Anton Blanchard [Wed, 23 Feb 2022 07:54:28 +0000 (18:54 +1100)]
abc: Fix {I} and {P} substitution

We were searching for {D} after the first match of {I} or {P}.

2 years agoprint cell name for properties in yosys-smtbmc
N. Engelhardt [Fri, 4 Feb 2022 17:23:12 +0000 (18:23 +0100)]
print cell name for properties in yosys-smtbmc

2 years agoMerge pull request #3211 from YosysHQ/micko/witness
Claire Xen [Tue, 22 Feb 2022 15:22:06 +0000 (16:22 +0100)]
Merge pull request #3211 from YosysHQ/micko/witness

Add support for AIGER witness files in "sim" command

2 years agoMerge pull request #3197 from YosysHQ/claire/smtbmcfix
Claire Xen [Tue, 22 Feb 2022 14:26:22 +0000 (15:26 +0100)]
Merge pull request #3197 from YosysHQ/claire/smtbmcfix

Add a bit of flexibilty re AIG witness trace length to smtbmc.py

2 years agojson: Add help message for `signed` field
R [Tue, 22 Feb 2022 05:59:25 +0000 (21:59 -0800)]
json: Add help message for `signed` field

2 years agoBump version
github-actions[bot] [Tue, 22 Feb 2022 00:59:35 +0000 (00:59 +0000)]
Bump version

2 years agoMerge pull request #3203 from YosysHQ/micko/sim_ff
Miodrag Milanović [Mon, 21 Feb 2022 16:57:44 +0000 (17:57 +0100)]
Merge pull request #3203 from YosysHQ/micko/sim_ff

Simulation for various FF types

2 years agoecp5: Do not use specify in generate in cells_sim.v.
Marcelina Kościelnicka [Mon, 21 Feb 2022 15:30:42 +0000 (16:30 +0100)]
ecp5: Do not use specify in generate in cells_sim.v.

2 years agoFix handling of ce_over_srst
Miodrag Milanovic [Mon, 21 Feb 2022 15:36:12 +0000 (16:36 +0100)]
Fix handling of ce_over_srst

2 years agofix handling of escaped chars in json backend and frontend
N. Engelhardt [Fri, 18 Feb 2022 16:13:09 +0000 (17:13 +0100)]
fix handling of escaped chars in json backend and frontend

2 years agoFix cycle 0 in aiger witness co-simulation
Claire Xenia Wolf [Fri, 18 Feb 2022 15:27:41 +0000 (16:27 +0100)]
Fix cycle 0 in aiger witness co-simulation

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoChanged error message
Miodrag Milanovic [Fri, 18 Feb 2022 14:06:49 +0000 (15:06 +0100)]
Changed error message

2 years agoAdded AIGER witness file co simulation
Miodrag Milanovic [Fri, 18 Feb 2022 14:04:02 +0000 (15:04 +0100)]
Added AIGER witness file co simulation

2 years agosimplify logic of handling flip-flops and latches
Miodrag Milanovic [Fri, 18 Feb 2022 08:17:36 +0000 (09:17 +0100)]
simplify logic of handling flip-flops and latches

2 years agoReview cleanup
Miodrag Milanovic [Thu, 17 Feb 2022 16:18:36 +0000 (17:18 +0100)]
Review cleanup

2 years agoRemove quotes if any from attribute
Miodrag Milanovic [Wed, 16 Feb 2022 18:10:13 +0000 (19:10 +0100)]
Remove quotes if any from attribute

2 years agotest dlatchsr and adlatch
Miodrag Milanovic [Wed, 16 Feb 2022 12:58:51 +0000 (13:58 +0100)]
test dlatchsr and adlatch

2 years agoAdded test cases
Miodrag Milanovic [Tue, 15 Feb 2022 08:35:53 +0000 (09:35 +0100)]
Added test cases

2 years agoAdd support for various ff/latch cells simulation
Miodrag Milanovic [Tue, 15 Feb 2022 08:30:42 +0000 (09:30 +0100)]
Add support for various ff/latch cells simulation

2 years agoBump version
github-actions[bot] [Wed, 16 Feb 2022 01:01:23 +0000 (01:01 +0000)]
Bump version

2 years agoMerge pull request #3204 from YosysHQ/claire/update-abc
Miodrag Milanović [Tue, 15 Feb 2022 19:51:54 +0000 (20:51 +0100)]
Merge pull request #3204 from YosysHQ/claire/update-abc

Bump ABC version

2 years agoBump ABC version
Miodrag Milanovic [Tue, 15 Feb 2022 17:44:05 +0000 (18:44 +0100)]
Bump ABC version

2 years agoBump version
github-actions[bot] [Tue, 15 Feb 2022 01:05:31 +0000 (01:05 +0000)]
Bump version

2 years agoverilog: support for time scale delay values
Zachary Snow [Fri, 11 Feb 2022 21:57:31 +0000 (22:57 +0100)]
verilog: support for time scale delay values

2 years agoFix access to whole sub-structs (#3086)
Kamil Rakoczy [Mon, 14 Feb 2022 13:34:20 +0000 (14:34 +0100)]
Fix access to whole sub-structs (#3086)

* Add support for accessing whole struct
* Update tests

Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>