yosys.git
9 years agoAdded $assume cell type
Clifford Wolf [Thu, 26 Feb 2015 17:04:10 +0000 (18:04 +0100)]
Added $assume cell type

9 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Wed, 25 Feb 2015 22:01:54 +0000 (23:01 +0100)]
Merge branch 'master' of github.com:cliffordwolf/yosys

9 years agoBugfix in iopadmap
Clifford Wolf [Wed, 25 Feb 2015 22:01:42 +0000 (23:01 +0100)]
Bugfix in iopadmap

9 years agoAdded "keep_hierarchy" attribute
Clifford Wolf [Wed, 25 Feb 2015 11:46:00 +0000 (12:46 +0100)]
Added "keep_hierarchy" attribute

9 years agoSome cleanups in "clean"
Clifford Wolf [Tue, 24 Feb 2015 21:31:30 +0000 (22:31 +0100)]
Some cleanups in "clean"

9 years agoFixed compilation problems with gcc 4.6.3; use enum instead of const ints.
Clifford Wolf [Tue, 24 Feb 2015 10:01:00 +0000 (11:01 +0100)]
Fixed compilation problems with gcc 4.6.3; use enum instead of const ints.
(original patch by Andrew Becker <andrew.becker@epfl.ch>)

9 years agoMinor "write_smt2" help msg change
Clifford Wolf [Sun, 22 Feb 2015 15:30:02 +0000 (16:30 +0100)]
Minor "write_smt2" help msg change

9 years agoFixed "check -assert"
Clifford Wolf [Sun, 22 Feb 2015 15:29:44 +0000 (16:29 +0100)]
Fixed "check -assert"

9 years agoAdded "<mod>_a" and "<mod>_i" to write_smt2 output
Clifford Wolf [Sun, 22 Feb 2015 15:19:10 +0000 (16:19 +0100)]
Added "<mod>_a" and "<mod>_i" to write_smt2 output

9 years agoAdded "check -assert" doc
Clifford Wolf [Sun, 22 Feb 2015 12:02:48 +0000 (13:02 +0100)]
Added "check -assert" doc

9 years agoAdded "check -assert"
Clifford Wolf [Sun, 22 Feb 2015 12:00:41 +0000 (13:00 +0100)]
Added "check -assert"

9 years agoFixed "sat -initsteps" off-by-one bug
Clifford Wolf [Sun, 22 Feb 2015 11:42:05 +0000 (12:42 +0100)]
Fixed "sat -initsteps" off-by-one bug

9 years agoAdded "sat -stepsize" and "sat -tempinduct-step"
Clifford Wolf [Sat, 21 Feb 2015 21:52:49 +0000 (22:52 +0100)]
Added "sat -stepsize" and "sat -tempinduct-step"

9 years agosat docu change
Clifford Wolf [Sat, 21 Feb 2015 21:03:54 +0000 (22:03 +0100)]
sat docu change

9 years agoWhen "sat -tempinduct-baseonly -maxsteps N" reaches maxsteps it is a good thing.
Clifford Wolf [Sat, 21 Feb 2015 19:05:16 +0000 (20:05 +0100)]
When "sat -tempinduct-baseonly -maxsteps N" reaches maxsteps it is a good thing.

9 years agoAdded "sat -tempinduct-baseonly -tempinduct-inductonly"
Clifford Wolf [Sat, 21 Feb 2015 16:53:22 +0000 (17:53 +0100)]
Added "sat -tempinduct-baseonly -tempinduct-inductonly"

9 years agoFixed basecase init for "sat -tempinduct"
Clifford Wolf [Sat, 21 Feb 2015 16:43:49 +0000 (17:43 +0100)]
Fixed basecase init for "sat -tempinduct"

9 years agoFixed "flatten" for non-pre-derived modules
Clifford Wolf [Sat, 21 Feb 2015 14:01:13 +0000 (15:01 +0100)]
Fixed "flatten" for non-pre-derived modules

9 years agoHotfix for yosysjs/demo03.html
Clifford Wolf [Sat, 21 Feb 2015 13:31:02 +0000 (14:31 +0100)]
Hotfix for yosysjs/demo03.html

9 years agoYosysJS: Wait for Viz to load
Clifford Wolf [Sat, 21 Feb 2015 13:25:34 +0000 (14:25 +0100)]
YosysJS: Wait for Viz to load

9 years agoReplaced ezDefaultSAT with ezSatPtr
Clifford Wolf [Sat, 21 Feb 2015 11:15:41 +0000 (12:15 +0100)]
Replaced ezDefaultSAT with ezSatPtr

9 years agoCatch constants assigned to cell outputs in "flatten"
Clifford Wolf [Sat, 21 Feb 2015 10:21:28 +0000 (11:21 +0100)]
Catch constants assigned to cell outputs in "flatten"

9 years agoAdded deep recursion warning to AST simplify
Clifford Wolf [Fri, 20 Feb 2015 09:33:20 +0000 (10:33 +0100)]
Added deep recursion warning to AST simplify

9 years agoParser support for complex delay expressions
Clifford Wolf [Fri, 20 Feb 2015 09:21:36 +0000 (10:21 +0100)]
Parser support for complex delay expressions

9 years agoYosysJS firefox fixes
Clifford Wolf [Thu, 19 Feb 2015 12:55:36 +0000 (13:55 +0100)]
YosysJS firefox fixes

9 years agoYosysJS stuff
Clifford Wolf [Thu, 19 Feb 2015 12:36:54 +0000 (13:36 +0100)]
YosysJS stuff

9 years agoformat fixes in "sat -dump_json"
Clifford Wolf [Thu, 19 Feb 2015 12:19:04 +0000 (13:19 +0100)]
format fixes in "sat -dump_json"

9 years agoAdded "sat -dump_json" (WaveJSON format)
Clifford Wolf [Thu, 19 Feb 2015 09:53:40 +0000 (10:53 +0100)]
Added "sat -dump_json" (WaveJSON format)

9 years agoChanged "show" defaults for Win32
Clifford Wolf [Thu, 19 Feb 2015 08:11:38 +0000 (09:11 +0100)]
Changed "show" defaults for Win32

9 years agoConvert floating point cell parameters to strings
Clifford Wolf [Wed, 18 Feb 2015 22:35:23 +0000 (23:35 +0100)]
Convert floating point cell parameters to strings

9 years agoFixed clang (svn trunk) warnings
Clifford Wolf [Wed, 18 Feb 2015 13:54:22 +0000 (14:54 +0100)]
Fixed clang (svn trunk) warnings

9 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Wed, 18 Feb 2015 06:19:03 +0000 (07:19 +0100)]
Merge branch 'master' of github.com:cliffordwolf/yosys

9 years agoAdded "select %xe %cie %coe"
Clifford Wolf [Wed, 18 Feb 2015 06:18:34 +0000 (07:18 +0100)]
Added "select %xe %cie %coe"

9 years agowreduce help typo fix
Clifford Wolf [Tue, 17 Feb 2015 12:02:16 +0000 (13:02 +0100)]
wreduce help typo fix

9 years agoCodingReadme
Clifford Wolf [Tue, 17 Feb 2015 12:01:01 +0000 (13:01 +0100)]
CodingReadme

9 years agoYosysJS fixes for firefox
Clifford Wolf [Mon, 16 Feb 2015 13:10:00 +0000 (14:10 +0100)]
YosysJS fixes for firefox

9 years agoMore YosysJS stuff
Clifford Wolf [Mon, 16 Feb 2015 12:23:54 +0000 (13:23 +0100)]
More YosysJS stuff

9 years agoAdded YosysJS wrapper
Clifford Wolf [Mon, 16 Feb 2015 11:41:48 +0000 (12:41 +0100)]
Added YosysJS wrapper

9 years agoBugfix in wreduce
Clifford Wolf [Mon, 16 Feb 2015 08:08:00 +0000 (09:08 +0100)]
Bugfix in wreduce

9 years agoMore yosys.js improvements
Clifford Wolf [Sun, 15 Feb 2015 23:11:22 +0000 (00:11 +0100)]
More yosys.js improvements

9 years agoAdded Viz to yosys.js
Clifford Wolf [Sun, 15 Feb 2015 21:53:41 +0000 (22:53 +0100)]
Added Viz to yosys.js

9 years agoAdded yosys.js FS support
Clifford Wolf [Sun, 15 Feb 2015 17:10:54 +0000 (18:10 +0100)]
Added yosys.js FS support

9 years agoMore emcc stuff
Clifford Wolf [Sun, 15 Feb 2015 16:14:09 +0000 (17:14 +0100)]
More emcc stuff

9 years agoImproved yosys.js example
Clifford Wolf [Sun, 15 Feb 2015 15:16:08 +0000 (16:16 +0100)]
Improved yosys.js example

9 years agoAdded "stat" to "synth" and "synth_xilinx"
Clifford Wolf [Sun, 15 Feb 2015 12:25:15 +0000 (13:25 +0100)]
Added "stat" to "synth" and "synth_xilinx"

9 years agoAdded final checks to "synth" and "synth_xilinx"
Clifford Wolf [Sun, 15 Feb 2015 12:00:00 +0000 (13:00 +0100)]
Added final checks to "synth" and "synth_xilinx"

9 years agoAdded "check -noinit"
Clifford Wolf [Sun, 15 Feb 2015 11:58:12 +0000 (12:58 +0100)]
Added "check -noinit"

9 years agoCosmetic fixes in "hierarchy" for blackbox modules
Clifford Wolf [Sun, 15 Feb 2015 11:57:41 +0000 (12:57 +0100)]
Cosmetic fixes in "hierarchy" for blackbox modules

9 years agoMore emscripten stuff, Added example app
Clifford Wolf [Sun, 15 Feb 2015 11:09:30 +0000 (12:09 +0100)]
More emscripten stuff, Added example app

9 years agoFixed default EMCCFLAGS
Clifford Wolf [Sun, 15 Feb 2015 09:30:29 +0000 (10:30 +0100)]
Fixed default EMCCFLAGS

9 years agoSmaller default parameters in $mem simlib model
Clifford Wolf [Sat, 14 Feb 2015 23:20:05 +0000 (00:20 +0100)]
Smaller default parameters in $mem simlib model

9 years agoFixed "stat" handling of blackbox modules
Clifford Wolf [Sat, 14 Feb 2015 21:36:34 +0000 (22:36 +0100)]
Fixed "stat" handling of blackbox modules

9 years agoVarious fixes for memories with offsets
Clifford Wolf [Sat, 14 Feb 2015 13:21:15 +0000 (14:21 +0100)]
Various fixes for memories with offsets

9 years agoAdded $meminit support to "memory" command
Clifford Wolf [Sat, 14 Feb 2015 11:55:03 +0000 (12:55 +0100)]
Added $meminit support to "memory" command

9 years agoAdded $meminit test case
Clifford Wolf [Sat, 14 Feb 2015 10:26:20 +0000 (11:26 +0100)]
Added $meminit test case

9 years agoAdded "read_verilog -nomeminit" and "nomeminit" attribute
Clifford Wolf [Sat, 14 Feb 2015 10:21:12 +0000 (11:21 +0100)]
Added "read_verilog -nomeminit" and "nomeminit" attribute

9 years agoCreating $meminit cells in verilog front-end
Clifford Wolf [Sat, 14 Feb 2015 09:49:30 +0000 (10:49 +0100)]
Creating $meminit cells in verilog front-end

9 years agoAdded $meminit cell type
Clifford Wolf [Sat, 14 Feb 2015 09:23:03 +0000 (10:23 +0100)]
Added $meminit cell type

9 years agoFixed handling of "//" in filenames in verilog pre-processor
Clifford Wolf [Sat, 14 Feb 2015 07:41:03 +0000 (08:41 +0100)]
Fixed handling of "//" in filenames in verilog pre-processor

9 years agoFixed "write_verilog -attr2comment" handling of "*/" in strings
Clifford Wolf [Fri, 13 Feb 2015 21:48:10 +0000 (22:48 +0100)]
Fixed "write_verilog -attr2comment" handling of "*/" in strings

9 years agohotfix in "check" command
Clifford Wolf [Fri, 13 Feb 2015 13:40:49 +0000 (14:40 +0100)]
hotfix in "check" command

9 years agoAdded "check" command
Clifford Wolf [Fri, 13 Feb 2015 13:34:51 +0000 (14:34 +0100)]
Added "check" command

9 years agoAdded AstNode::simplify() recursion counter
Clifford Wolf [Fri, 13 Feb 2015 11:33:12 +0000 (12:33 +0100)]
Added AstNode::simplify() recursion counter

9 years agoAdded EMCCFLAGS
Clifford Wolf [Fri, 13 Feb 2015 11:32:04 +0000 (12:32 +0100)]
Added EMCCFLAGS

9 years agoSome test related fixes
Clifford Wolf [Thu, 12 Feb 2015 16:45:44 +0000 (17:45 +0100)]
Some test related fixes

(incl. removal of three bad test cases)

9 years agoAdded "proc_dlatch"
Clifford Wolf [Thu, 12 Feb 2015 15:56:01 +0000 (16:56 +0100)]
Added "proc_dlatch"

9 years agoLess aggressive "share" defaults
Clifford Wolf [Tue, 10 Feb 2015 19:51:37 +0000 (20:51 +0100)]
Less aggressive "share" defaults

9 years agoImproved read_verilog support for empty behavioral statements
Clifford Wolf [Tue, 10 Feb 2015 11:17:29 +0000 (12:17 +0100)]
Improved read_verilog support for empty behavioral statements

9 years agoAdded "scc -expect <N> -nofeedback"
Clifford Wolf [Tue, 10 Feb 2015 07:48:55 +0000 (08:48 +0100)]
Added "scc -expect <N> -nofeedback"

9 years agoSome hashlib improvements
Clifford Wolf [Mon, 9 Feb 2015 19:11:51 +0000 (20:11 +0100)]
Some hashlib improvements

9 years agoVarious changes to release checklist
Clifford Wolf [Mon, 9 Feb 2015 15:36:37 +0000 (16:36 +0100)]
Various changes to release checklist

9 years agoFixed creation of command reference in manual
Clifford Wolf [Mon, 9 Feb 2015 12:24:29 +0000 (13:24 +0100)]
Fixed creation of command reference in manual

9 years agoWe are now in 0.5+ development
Clifford Wolf [Mon, 9 Feb 2015 12:12:48 +0000 (13:12 +0100)]
We are now in 0.5+ development

9 years agoYosys 0.5 yosys-0.5
Clifford Wolf [Mon, 9 Feb 2015 11:49:52 +0000 (12:49 +0100)]
Yosys 0.5

9 years agoBugfix in "make vcxsrc"
Clifford Wolf [Mon, 9 Feb 2015 11:48:15 +0000 (12:48 +0100)]
Bugfix in "make vcxsrc"

9 years agoUpdated command reference in manual
Clifford Wolf [Mon, 9 Feb 2015 11:05:02 +0000 (12:05 +0100)]
Updated command reference in manual

9 years agoVarious presentation fixes
Clifford Wolf [Mon, 9 Feb 2015 11:02:21 +0000 (12:02 +0100)]
Various presentation fixes

9 years agoFixed iterator invalidation bug in "rename" command
Clifford Wolf [Sun, 8 Feb 2015 23:18:36 +0000 (00:18 +0100)]
Fixed iterator invalidation bug in "rename" command

9 years agoCodingReadme update
Clifford Wolf [Sun, 8 Feb 2015 22:30:15 +0000 (23:30 +0100)]
CodingReadme update

9 years agoFixed bug in "show -format .."
Clifford Wolf [Sun, 8 Feb 2015 22:29:54 +0000 (23:29 +0100)]
Fixed bug in "show -format .."

9 years agoAdded new APIs to changelog
Clifford Wolf [Sun, 8 Feb 2015 20:14:52 +0000 (21:14 +0100)]
Added new APIs to changelog

9 years agoFixed eval_select_op() api
Clifford Wolf [Sun, 8 Feb 2015 18:06:16 +0000 (19:06 +0100)]
Fixed eval_select_op() api

9 years agoAdded eval_select_args() and eval_select_op()
Clifford Wolf [Sun, 8 Feb 2015 17:56:06 +0000 (18:56 +0100)]
Added eval_select_args() and eval_select_op()

9 years agoMinor "make vgtest" changes
Clifford Wolf [Sun, 8 Feb 2015 14:13:51 +0000 (15:13 +0100)]
Minor "make vgtest" changes

9 years agoVarious ModIndex improvements
Clifford Wolf [Sun, 8 Feb 2015 13:23:12 +0000 (14:23 +0100)]
Various ModIndex improvements

9 years agoAdded Yosys 0.5 Changelog
Clifford Wolf [Sun, 8 Feb 2015 11:01:22 +0000 (12:01 +0100)]
Added Yosys 0.5 Changelog

9 years agoVarious updates to CodingReadme
Clifford Wolf [Sun, 8 Feb 2015 11:01:00 +0000 (12:01 +0100)]
Various updates to CodingReadme

9 years agoAdded equiv_add
Clifford Wolf [Sun, 8 Feb 2015 10:59:38 +0000 (11:59 +0100)]
Added equiv_add

9 years agoIgnore explicit assignments to constants in HDL code
Clifford Wolf [Sat, 7 Feb 2015 23:58:03 +0000 (00:58 +0100)]
Ignore explicit assignments to constants in HDL code

9 years agoFixed a bug with autowire bit size
Clifford Wolf [Sat, 7 Feb 2015 23:48:23 +0000 (00:48 +0100)]
Fixed a bug with autowire bit size
(removed leftover from when we tried to auto-size the wires)

9 years agofixed typo
Clifford Wolf [Sat, 7 Feb 2015 23:16:59 +0000 (00:16 +0100)]
fixed typo

9 years agoAdded "yosys-config --build modname.so cppsources.."
Clifford Wolf [Sat, 7 Feb 2015 23:14:07 +0000 (00:14 +0100)]
Added "yosys-config --build modname.so cppsources.."

9 years agoAdded SigSpec::has_const()
Clifford Wolf [Sat, 7 Feb 2015 23:01:51 +0000 (00:01 +0100)]
Added SigSpec::has_const()

9 years agoCleanup in add_share_file make macro
Clifford Wolf [Sat, 7 Feb 2015 23:01:31 +0000 (00:01 +0100)]
Cleanup in add_share_file make macro

9 years agoRemoved "make mklibyosys"
Clifford Wolf [Sat, 7 Feb 2015 18:05:06 +0000 (19:05 +0100)]
Removed "make mklibyosys"

9 years agoImproved building of plugins
Clifford Wolf [Sat, 7 Feb 2015 18:04:06 +0000 (19:04 +0100)]
Improved building of plugins

9 years agoAdded "make uninstall"
Clifford Wolf [Sat, 7 Feb 2015 16:46:46 +0000 (17:46 +0100)]
Added "make uninstall"

9 years agoAdded cell->known(), cell->input(portname), cell->output(portname)
Clifford Wolf [Sat, 7 Feb 2015 10:40:19 +0000 (11:40 +0100)]
Added cell->known(), cell->input(portname), cell->output(portname)

9 years agoAdded "select -read"
Clifford Wolf [Fri, 6 Feb 2015 09:01:22 +0000 (10:01 +0100)]
Added "select -read"

9 years agoAuto-detect TCL version
Clifford Wolf [Thu, 5 Feb 2015 22:39:26 +0000 (23:39 +0100)]
Auto-detect TCL version