Clifford Wolf [Mon, 30 Apr 2018 11:02:56 +0000 (13:02 +0200)]
Add "synth_intel --noiopads"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 22 Apr 2018 14:03:26 +0000 (16:03 +0200)]
Add $dlatch support to write_verilog
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 16 Apr 2018 18:44:26 +0000 (20:44 +0200)]
Add "synth_ice40 -nodffe"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 15 Apr 2018 12:07:21 +0000 (14:07 +0200)]
Add "write_blif -inames -iattr"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 13 Apr 2018 09:52:28 +0000 (11:52 +0200)]
Add statement labels for immediate assertions
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 12 Apr 2018 12:28:28 +0000 (14:28 +0200)]
Allow "property" in immediate assertions
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 12 Apr 2018 12:02:57 +0000 (14:02 +0200)]
Improve Makefile error handling for when abc/ is a hg working copy
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 7 Apr 2018 16:38:42 +0000 (18:38 +0200)]
Add PRIM_HDL_ASSERTION support to Verific importer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 6 Apr 2018 19:23:47 +0000 (21:23 +0200)]
Fix handling of $global_clocking in Verific
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 6 Apr 2018 12:37:43 +0000 (14:37 +0200)]
Add documentation for anyconst/anyseq/allconst/allseq attribute
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 6 Apr 2018 12:35:11 +0000 (14:35 +0200)]
Add read_verilog anyseq/anyconst/allseq/allconst attribute support
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 6 Apr 2018 12:19:55 +0000 (14:19 +0200)]
Add Verific anyseq/anyconst/allseq/allconst attribute support
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 6 Apr 2018 12:10:57 +0000 (14:10 +0200)]
Add "verific -autocover"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 6 Apr 2018 11:50:23 +0000 (13:50 +0200)]
Merge pull request #530 from makaimann/set-ram-flags
Set RAM runtime flags for Verific frontend
makaimann [Fri, 6 Apr 2018 00:38:08 +0000 (17:38 -0700)]
Set RAM runtime flags for Verific frontend
Clifford Wolf [Thu, 5 Apr 2018 09:01:32 +0000 (11:01 +0200)]
Added missing dont_use handling for SR FFs to dfflibmap
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 4 Apr 2018 17:27:33 +0000 (19:27 +0200)]
Create issue_template.md
Clifford Wolf [Wed, 4 Apr 2018 16:12:27 +0000 (18:12 +0200)]
Add smtio.py support for parsing SMT2 (_ bvX n) syntax for BitVec constants
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 4 Apr 2018 15:28:07 +0000 (17:28 +0200)]
Fixed -stbv handling in SMT2 back-end
Clifford Wolf [Sun, 1 Apr 2018 13:32:47 +0000 (15:32 +0200)]
Merge pull request #522 from c60k28/master
Fixed broken Quartus backend on dffeas init value, and other updates.
c60k28 [Sun, 1 Apr 2018 04:48:47 +0000 (22:48 -0600)]
Fixed broken Quartus backend on dffeas init value (Error (12170): Illegal value for the POWER_UP parameter. Fixed and tested Cyclone V device
Clifford Wolf [Sat, 31 Mar 2018 12:23:57 +0000 (14:23 +0200)]
Remove left-over log_ping debug commands.. oops.
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 31 Mar 2018 11:31:01 +0000 (13:31 +0200)]
Merge pull request #521 from azonenberg/for_clifford
coolrunner2: Improve optimization for TFF/counters
Robert Ou [Sat, 31 Mar 2018 10:54:48 +0000 (03:54 -0700)]
coolrunner2: Add an ANDTERM/XOR between chained FFs
In some cases (e.g. the low bits of counters) the design might end up
with a flip-flop whose input is directly driven by another flip-flop.
This isn't possible in the Coolrunner-II architecture, so add a single
AND term and XOR in this case.
Robert Ou [Sat, 31 Mar 2018 09:56:11 +0000 (02:56 -0700)]
coolrunner2: Split multi-bit nets
The PAR tool doesn't expect any "dangling" nets with no drivers nor
sinks. By splitting the nets, clean removes them.
Robert Ou [Sat, 31 Mar 2018 09:54:26 +0000 (02:54 -0700)]
coolrunner2: Add extraction for TFFs
Clifford Wolf [Thu, 29 Mar 2018 19:59:30 +0000 (21:59 +0200)]
Add smtio status msgs when --progress is inactive
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 29 Mar 2018 10:45:31 +0000 (12:45 +0200)]
Bugfix in smtio.py VCD file generator
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 29 Mar 2018 10:38:41 +0000 (12:38 +0200)]
Removed $timescale from "sat" command VCD writer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 27 Mar 2018 13:04:10 +0000 (15:04 +0200)]
Set stack size to at least 128 MB (large stack needed for parsing huge expressions)
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 27 Mar 2018 12:31:19 +0000 (14:31 +0200)]
Fix tests/simple/specify.v
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Udi Finkelstein [Sun, 4 Mar 2018 21:35:08 +0000 (23:35 +0200)]
First draft of Verilog parser support for specify blocks and parameters.
The only functionality of this code at the moment is to accept correct specify syntax and ignore it.
No part of the specify block is added to the AST
Clifford Wolf [Tue, 27 Mar 2018 12:14:51 +0000 (14:14 +0200)]
Merge pull request #515 from edcote/patch-1
Rename rename to renames
Clifford Wolf [Tue, 27 Mar 2018 12:12:57 +0000 (14:12 +0200)]
Chenged "extensions_map" to "extensions_list" in hierarchy.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 27 Mar 2018 12:10:39 +0000 (14:10 +0200)]
Merge pull request #518 from xerpi/master
passes/hierarchy: Reduce code duplication in expand_module
Sergi Granell [Tue, 27 Mar 2018 07:35:20 +0000 (09:35 +0200)]
passes/hierarchy: Reduce code duplication in expand_module
This also makes it easier to add new file extensions support.
Signed-off-by: Sergi Granell <xerpi.g.12@gmail.com>
Clifford Wolf [Tue, 27 Mar 2018 00:11:20 +0000 (02:11 +0200)]
Add $mem support to SMT2 clock tagging
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 26 Mar 2018 22:39:01 +0000 (00:39 +0200)]
Fix build for new ABC location on github, also update ABC to
a2d59be
Clifford Wolf [Mon, 26 Mar 2018 19:19:00 +0000 (21:19 +0200)]
Add .sv support to "hierarchy -libdir"
Clifford Wolf [Mon, 26 Mar 2018 11:04:10 +0000 (13:04 +0200)]
Fix handling of unclocked immediate assertions in Verific front-end
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Edmond Cote [Tue, 20 Mar 2018 22:50:50 +0000 (15:50 -0700)]
Rename rename to renames
Create TCL alias for rename command. Using renames. Following the same convention as proc -> procs.
Clifford Wolf [Sat, 17 Mar 2018 17:06:17 +0000 (18:06 +0100)]
Improve yosys-smtbmc log output and error handling
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 17 Mar 2018 11:17:53 +0000 (12:17 +0100)]
Improve handling of invalid check-sat result in smtio.py
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 16 Mar 2018 14:48:48 +0000 (15:48 +0100)]
Update todo for more features to verificsva.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 16 Mar 2018 11:16:52 +0000 (12:16 +0100)]
Update todo for more features to verificsva.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 16 Mar 2018 11:15:36 +0000 (12:15 +0100)]
Add todo for more features to verificsva.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 15 Mar 2018 17:20:37 +0000 (18:20 +0100)]
Improve import of memories via Verific
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 14 Mar 2018 19:22:11 +0000 (20:22 +0100)]
Fix handling of SV compilation units in Verific front-end
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 12 Mar 2018 12:52:52 +0000 (13:52 +0100)]
Add "expose -input"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 12 Mar 2018 12:52:35 +0000 (13:52 +0100)]
Add "setundef -undef"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Larry Doolittle [Sat, 10 Mar 2018 17:59:06 +0000 (09:59 -0800)]
Squelch trailing whitespace, including meta-whitespace
Larry Doolittle [Tue, 6 Mar 2018 17:43:42 +0000 (09:43 -0800)]
Harmonize uses of _WIN32 macro
Clifford Wolf [Sat, 10 Mar 2018 15:24:01 +0000 (16:24 +0100)]
Fix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 10 Mar 2018 13:33:42 +0000 (14:33 +0100)]
Fix variable name typo in verificsva.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 10 Mar 2018 13:32:01 +0000 (14:32 +0100)]
Add support for trivial SVA sequences and properties
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 10 Mar 2018 12:55:30 +0000 (13:55 +0100)]
Fix handling of src attributes in flatten
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 8 Mar 2018 15:24:35 +0000 (16:24 +0100)]
Remove debug prints from yosys-smtbmc VCD writer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 8 Mar 2018 12:26:33 +0000 (13:26 +0100)]
Use Verific hier_tree component for elaboration
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 7 Mar 2018 21:54:19 +0000 (22:54 +0100)]
Check results of (check-sat) in yosys-smtbmc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 7 Mar 2018 19:06:02 +0000 (20:06 +0100)]
Fix Verific handling of "assert property (..);" in always block
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 7 Mar 2018 18:40:34 +0000 (19:40 +0100)]
Add "verific -import -V"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 7 Mar 2018 17:08:03 +0000 (18:08 +0100)]
Set Verific db_preserve_user_nets flag
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 7 Mar 2018 16:31:07 +0000 (17:31 +0100)]
Add Xilinx RAM64X1D and RAM128X1D simulation models
Clifford Wolf [Tue, 6 Mar 2018 22:31:51 +0000 (23:31 +0100)]
Add "memory_nordff" pass
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 14:47:33 +0000 (15:47 +0100)]
Update comment about supported SVA in verificsva.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 14:39:46 +0000 (15:39 +0100)]
Add SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT support
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 14:06:35 +0000 (15:06 +0100)]
Add SVA first_match() support
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 13:41:27 +0000 (14:41 +0100)]
Add SVA within support
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 13:26:57 +0000 (14:26 +0100)]
Add support for SVA sequence intersect
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 10:50:38 +0000 (11:50 +0100)]
Add get_fsm_accept_reject for parsing SVA properties
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 6 Mar 2018 00:51:42 +0000 (01:51 +0100)]
Simplified SVA "until" handling
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 5 Mar 2018 11:08:41 +0000 (12:08 +0100)]
Imporove yosys-smtbmc error handling, Improve VCD output
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 21:54:34 +0000 (22:54 +0100)]
Fix connwrappers help message
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 21:35:59 +0000 (22:35 +0100)]
Improve handling of warning messages
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 20:31:10 +0000 (21:31 +0100)]
Update copyright header
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 20:22:20 +0000 (21:22 +0100)]
Improve SMT2 encoding of $reduce_{and,or,bool}
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 20:13:30 +0000 (21:13 +0100)]
Fix a hangup in yosys-smtbmc error handling
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 18:29:26 +0000 (19:29 +0100)]
Add proper SVA seq.triggered support
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 16:13:45 +0000 (17:13 +0100)]
Add "synth -noshare"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 14:08:21 +0000 (15:08 +0100)]
Add Verific SVA support for "seq and seq" expressions
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 13:29:48 +0000 (14:29 +0100)]
Refactor Verific SVA importer property parser
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sun, 4 Mar 2018 12:48:53 +0000 (13:48 +0100)]
Add VerificClocking class and refactor Verific DFF handling
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 3 Mar 2018 19:00:07 +0000 (20:00 +0100)]
Improved error handling in yosys-smtbmc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 3 Mar 2018 15:34:28 +0000 (16:34 +0100)]
Add SVA support for sequence OR
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 3 Mar 2018 13:50:40 +0000 (14:50 +0100)]
Terminate running SMT solver when smtbmc is terminated
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Sat, 3 Mar 2018 13:15:49 +0000 (14:15 +0100)]
Fix smtbmc smtc/aiw parser for wire names containing []
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 2 Mar 2018 17:17:10 +0000 (18:17 +0100)]
Fix handling of SVA "until seq.triggered" properties
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Fri, 2 Mar 2018 15:05:56 +0000 (16:05 +0100)]
Update SVA cheat sheet in verificsva.cc
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 1 Mar 2018 18:37:36 +0000 (19:37 +0100)]
Fix in Verific SVA importer handling of until_with
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 1 Mar 2018 13:15:27 +0000 (14:15 +0100)]
Mangle names with square brackets in VCD files to work around issues in gtkwave
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 1 Mar 2018 10:40:43 +0000 (11:40 +0100)]
Fixes and improvements in Verific SVA importer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Thu, 1 Mar 2018 09:12:15 +0000 (10:12 +0100)]
Add $rose/$fell support to Verific bindings
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 28 Feb 2018 14:32:53 +0000 (15:32 +0100)]
Merge branch 'verificsva-ng'
Clifford Wolf [Wed, 28 Feb 2018 14:32:17 +0000 (15:32 +0100)]
Add support for PRIM_SVA_UNTIL to new SVA importer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 28 Feb 2018 14:05:33 +0000 (15:05 +0100)]
Add DFSM generator to verific SVA importer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Wed, 28 Feb 2018 10:45:04 +0000 (11:45 +0100)]
Continue refactoring of Verific SVA importer code
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 27 Feb 2018 19:33:15 +0000 (20:33 +0100)]
Major redesign of Verific SVA importer
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Tue, 27 Feb 2018 11:15:42 +0000 (12:15 +0100)]
Add -lz for verific builds
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 26 Feb 2018 14:26:01 +0000 (15:26 +0100)]
Add handling of verific OPER_REDUCE_NOR
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Clifford Wolf [Mon, 26 Feb 2018 14:20:27 +0000 (15:20 +0100)]
Add handling of verific OPER_SELECTOR and OPER_WIDE_SELECTOR
Signed-off-by: Clifford Wolf <clifford@clifford.at>