yosys.git
7 years agoAdd error for cell output ports that are connected to constants
Clifford Wolf [Sat, 22 Jul 2017 13:08:30 +0000 (15:08 +0200)]
Add error for cell output ports that are connected to constants

7 years agoAdd some simple SVA test cases for future Verific work
Clifford Wolf [Sat, 22 Jul 2017 10:31:08 +0000 (12:31 +0200)]
Add some simple SVA test cases for future Verific work

7 years agoImprove docs for verific bindings, add simply sby example
Clifford Wolf [Sat, 22 Jul 2017 09:58:51 +0000 (11:58 +0200)]
Improve docs for verific bindings, add simply sby example

7 years agoFix handling of empty cell port assignments (i.e. ignore them)
Clifford Wolf [Fri, 21 Jul 2017 17:32:31 +0000 (19:32 +0200)]
Fix handling of empty cell port assignments (i.e. ignore them)

7 years agoFix "read_blif -wideports" handling of cells with wide ports
Clifford Wolf [Fri, 21 Jul 2017 14:21:04 +0000 (16:21 +0200)]
Fix "read_blif -wideports" handling of cells with wide ports

7 years agoAdd a paragraph about pre-defined macros to read_verilog help message
Clifford Wolf [Fri, 21 Jul 2017 12:34:53 +0000 (14:34 +0200)]
Add a paragraph about pre-defined macros to read_verilog help message

7 years agoAdd verilator support to testbenches generated by yosys-smtbmc
Clifford Wolf [Fri, 21 Jul 2017 12:33:29 +0000 (14:33 +0200)]
Add verilator support to testbenches generated by yosys-smtbmc

7 years agoChange intptr_t to uintptr_t in hashlib.h
Clifford Wolf [Tue, 18 Jul 2017 15:38:19 +0000 (17:38 +0200)]
Change intptr_t to uintptr_t in hashlib.h

7 years agoMerge pull request #363 from rqou/master
Clifford Wolf [Tue, 18 Jul 2017 13:21:12 +0000 (15:21 +0200)]
Merge pull request #363 from rqou/master

Miscellaneous build tweaks

7 years agomakefile: Add the option to use libtermcap
Robert Ou [Mon, 17 Jul 2017 21:21:59 +0000 (14:21 -0700)]
makefile: Add the option to use libtermcap

7 years agoFix build warnings for win64
Robert Ou [Mon, 17 Jul 2017 19:36:43 +0000 (12:36 -0700)]
Fix build warnings for win64

Win64 has a 32-bit long. Use intptr_t to work on any data model.

7 years agoAdd $alu to list of supported cells for "stat -width"
Clifford Wolf [Fri, 14 Jul 2017 09:32:49 +0000 (11:32 +0200)]
Add $alu to list of supported cells for "stat -width"

7 years agoGenerate FSM-style testbenches in smtbmc
Clifford Wolf [Wed, 12 Jul 2017 13:57:04 +0000 (15:57 +0200)]
Generate FSM-style testbenches in smtbmc

7 years agoFix the fixed handling of x-bits in EDIF back-end
Clifford Wolf [Tue, 11 Jul 2017 15:45:29 +0000 (17:45 +0200)]
Fix the fixed handling of x-bits in EDIF back-end

7 years agoFix handling of x-bits in EDIF back-end
Clifford Wolf [Tue, 11 Jul 2017 15:38:19 +0000 (17:38 +0200)]
Fix handling of x-bits in EDIF back-end

7 years agoAdd attributes and parameter support to JSON front-end
Clifford Wolf [Mon, 10 Jul 2017 11:17:38 +0000 (13:17 +0200)]
Add attributes and parameter support to JSON front-end

7 years agoAdd techlibs/xilinx/lut2lut.v
Clifford Wolf [Mon, 10 Jul 2017 10:09:05 +0000 (12:09 +0200)]
Add techlibs/xilinx/lut2lut.v

7 years agoAdd JSON front-end
Clifford Wolf [Sat, 8 Jul 2017 14:40:40 +0000 (16:40 +0200)]
Add JSON front-end

7 years agoChange s/asserts/assertions/ in yosys-smtbmc log messages
Clifford Wolf [Fri, 7 Jul 2017 09:52:25 +0000 (11:52 +0200)]
Change s/asserts/assertions/ in yosys-smtbmc log messages

7 years agoAdd "yosys-smtbmc --presat"
Clifford Wolf [Fri, 7 Jul 2017 00:47:30 +0000 (02:47 +0200)]
Add "yosys-smtbmc --presat"

7 years agoFix generation of multiple outputs for same AIG node in write_aiger
Clifford Wolf [Wed, 5 Jul 2017 12:23:54 +0000 (14:23 +0200)]
Fix generation of multiple outputs for same AIG node in write_aiger

7 years agoAdd write_table command
Clifford Wolf [Wed, 5 Jul 2017 10:13:53 +0000 (12:13 +0200)]
Add write_table command

7 years agoAdd Verific Release information to log
Clifford Wolf [Tue, 4 Jul 2017 18:01:30 +0000 (20:01 +0200)]
Add Verific Release information to log

7 years agoFix some c++ clang compiler errors
Clifford Wolf [Mon, 3 Jul 2017 17:38:30 +0000 (19:38 +0200)]
Fix some c++ clang compiler errors

7 years agoApply minor coding style changes to coolrunner2 target
Clifford Wolf [Mon, 3 Jul 2017 17:35:40 +0000 (19:35 +0200)]
Apply minor coding style changes to coolrunner2 target

7 years agoMerge pull request #352 from rqou/master
Clifford Wolf [Mon, 3 Jul 2017 17:33:36 +0000 (19:33 +0200)]
Merge pull request #352 from rqou/master

Initial Coolrunner-II support

7 years agoMerge pull request #356 from set-soft/clean-test
Clifford Wolf [Mon, 3 Jul 2017 17:33:25 +0000 (19:33 +0200)]
Merge pull request #356 from set-soft/clean-test

Added the test outputs to the clean target

7 years agoMerge pull request #355 from set-soft/exclude_TBUF_merge
Clifford Wolf [Mon, 3 Jul 2017 17:31:59 +0000 (19:31 +0200)]
Merge pull request #355 from set-soft/exclude_TBUF_merge

Excluded $_TBUF_ from opt_merge pass

7 years agoAdded the test outputs to the clean target
Salvador E. Tropea [Mon, 3 Jul 2017 16:33:11 +0000 (13:33 -0300)]
Added the test outputs to the clean target

7 years agoExcluded $_TBUF_ from opt_merge pass
Salvador E. Tropea [Mon, 3 Jul 2017 16:21:20 +0000 (13:21 -0300)]
Excluded $_TBUF_ from opt_merge pass

7 years agoRemove unneeded delays in smtbmc vlogtb
Clifford Wolf [Mon, 3 Jul 2017 13:37:17 +0000 (15:37 +0200)]
Remove unneeded delays in smtbmc vlogtb

7 years agoInclude output ports with constant driver in AIGER output
Clifford Wolf [Mon, 3 Jul 2017 12:53:17 +0000 (14:53 +0200)]
Include output ports with constant driver in AIGER output

7 years agoAdd "yosys-smtbmc --vlogtb-top"
Clifford Wolf [Sat, 1 Jul 2017 16:19:23 +0000 (18:19 +0200)]
Add "yosys-smtbmc --vlogtb-top"

7 years agoFix and_or_buffer optimization in opt_expr for signed operators
Clifford Wolf [Sat, 1 Jul 2017 14:05:26 +0000 (16:05 +0200)]
Fix and_or_buffer optimization in opt_expr for signed operators

7 years agoFix smtbmc vlogtb bug in $anyseq handling
Clifford Wolf [Sat, 1 Jul 2017 00:13:32 +0000 (02:13 +0200)]
Fix smtbmc vlogtb bug in $anyseq handling

7 years agoAdd "design -import"
Clifford Wolf [Fri, 30 Jun 2017 16:52:52 +0000 (18:52 +0200)]
Add "design -import"

7 years agoAdd chtype command
Clifford Wolf [Fri, 30 Jun 2017 15:57:34 +0000 (17:57 +0200)]
Add chtype command

7 years agoAdd $tribuf to opt_merge blacklist
Clifford Wolf [Fri, 30 Jun 2017 15:44:44 +0000 (17:44 +0200)]
Add $tribuf to opt_merge blacklist

7 years agoMerge pull request #353 from azonenberg/master
Clifford Wolf [Tue, 27 Jun 2017 17:18:32 +0000 (19:18 +0200)]
Merge pull request #353 from azonenberg/master

greenpak4_counters: Use more human-readable names for inferred counters

7 years agocoolrunner2: Add a few more primitives
Robert Ou [Mon, 26 Jun 2017 06:56:16 +0000 (23:56 -0700)]
coolrunner2: Add a few more primitives

These cannot be inferred yet, but add them to cells_sim.v for now

7 years agocoolrunner2: Initial mapping of latches
Robert Ou [Mon, 26 Jun 2017 03:58:45 +0000 (20:58 -0700)]
coolrunner2: Initial mapping of latches

7 years agocoolrunner2: Initial mapping of DFFs
Robert Ou [Mon, 26 Jun 2017 03:16:43 +0000 (20:16 -0700)]
coolrunner2: Initial mapping of DFFs

All DFFs map to either FDCP (matches Xilinx) or a custom FDCP_N
(negative-edge triggered)

7 years agocoolrunner2: Remove redundant INVERT_PTC
Robert Ou [Sun, 25 Jun 2017 09:56:45 +0000 (02:56 -0700)]
coolrunner2: Remove redundant INVERT_PTC

7 years agocoolrunner2: Remove debug prints
Robert Ou [Sun, 25 Jun 2017 09:44:03 +0000 (02:44 -0700)]
coolrunner2: Remove debug prints

7 years agocoolrunner2: Correctly handle $_NOT_ after $sop
Robert Ou [Sun, 25 Jun 2017 09:42:36 +0000 (02:42 -0700)]
coolrunner2: Correctly handle $_NOT_ after $sop

7 years agocoolrunner2: Also construct the XOR cell in the macrocell
Robert Ou [Sun, 25 Jun 2017 09:20:42 +0000 (02:20 -0700)]
coolrunner2: Also construct the XOR cell in the macrocell

7 years agocoolrunner2: Initial techmapping for $sop
Robert Ou [Sat, 24 Jun 2017 15:51:24 +0000 (08:51 -0700)]
coolrunner2: Initial techmapping for $sop

7 years agogreenpak4_counters: Changed generation of primitive names so that the absorbed regist...
Andrew Zonenberg [Sat, 24 Jun 2017 21:54:07 +0000 (14:54 -0700)]
greenpak4_counters: Changed generation of primitive names so that the absorbed register's name is included

7 years agocoolrunner2: Initial commit
Robert Ou [Sat, 24 Jun 2017 13:59:20 +0000 (06:59 -0700)]
coolrunner2: Initial commit

7 years agoFix handling of init values in "abc -dff" and "abc -clk"
Clifford Wolf [Tue, 20 Jun 2017 13:32:23 +0000 (15:32 +0200)]
Fix handling of init values in "abc -dff" and "abc -clk"

7 years agoFix history namespace collision
Clifford Wolf [Tue, 20 Jun 2017 03:26:12 +0000 (05:26 +0200)]
Fix history namespace collision

7 years agoStore command history when terminating with an error
Clifford Wolf [Tue, 20 Jun 2017 02:41:58 +0000 (04:41 +0200)]
Store command history when terminating with an error

7 years agoSwitched abc "clock domain not found" error to log_cmd_error()
Clifford Wolf [Tue, 20 Jun 2017 02:22:34 +0000 (04:22 +0200)]
Switched abc "clock domain not found" error to log_cmd_error()

7 years agoFix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand const reg"
Clifford Wolf [Wed, 7 Jun 2017 10:30:24 +0000 (12:30 +0200)]
Fix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand const reg"

7 years agoFix handling of Verilog ~& and ~| operators
Clifford Wolf [Thu, 1 Jun 2017 10:43:21 +0000 (12:43 +0200)]
Fix handling of Verilog ~& and ~| operators

7 years agoUpdate ABC to hg rev efbf7f13ea9e
Clifford Wolf [Wed, 31 May 2017 09:55:37 +0000 (11:55 +0200)]
Update ABC to hg rev efbf7f13ea9e

7 years agoAdd dff2ff.v techmap file
Clifford Wolf [Wed, 31 May 2017 09:45:58 +0000 (11:45 +0200)]
Add dff2ff.v techmap file

7 years agoFix AIGER back-end for multiple symbols per input/latch/output/property
Clifford Wolf [Tue, 30 May 2017 17:09:11 +0000 (19:09 +0200)]
Fix AIGER back-end for multiple symbols per input/latch/output/property

7 years agoAdd "setundef -anyseq"
Clifford Wolf [Sun, 28 May 2017 09:59:05 +0000 (11:59 +0200)]
Add "setundef -anyseq"

7 years agoImprove write_aiger handling of unconnected nets and constants
Clifford Wolf [Sun, 28 May 2017 09:31:35 +0000 (11:31 +0200)]
Improve write_aiger handling of unconnected nets and constants

7 years agoChange default smt2 solver to yices (Yices 2 has switched its license to GPL)
Clifford Wolf [Sat, 27 May 2017 09:56:01 +0000 (11:56 +0200)]
Change default smt2 solver to yices (Yices 2 has switched its license to GPL)

7 years agoAdd aliases for common sets of gate types to "abc -g"
Clifford Wolf [Wed, 24 May 2017 09:39:05 +0000 (11:39 +0200)]
Add aliases for common sets of gate types to "abc -g"

7 years agoAdd examples/osu035
Clifford Wolf [Tue, 23 May 2017 16:38:20 +0000 (18:38 +0200)]
Add examples/osu035

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Tue, 23 May 2017 16:24:27 +0000 (18:24 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoMerge pull request #346 from azonenberg/master
Clifford Wolf [Tue, 23 May 2017 12:07:30 +0000 (14:07 +0200)]
Merge pull request #346 from azonenberg/master

greenpak4_counters: Added support for parallel output from GP_COUNTx cells

7 years agogreenpak4_counters: Added support for parallel output from GP_COUNTx cells
Andrew Zonenberg [Tue, 23 May 2017 02:39:55 +0000 (19:39 -0700)]
greenpak4_counters: Added support for parallel output from GP_COUNTx cells

7 years agoAdd workaround for CBMC bug to SimpleC back-end
Clifford Wolf [Wed, 17 May 2017 19:07:54 +0000 (21:07 +0200)]
Add workaround for CBMC bug to SimpleC back-end

7 years agoEnable readline and tcl in mxe builds
Clifford Wolf [Wed, 17 May 2017 18:46:22 +0000 (20:46 +0200)]
Enable readline and tcl in mxe builds

7 years agoAdd missing AndnotGate() and OrnotGate() declarations to rtlil.h
Clifford Wolf [Wed, 17 May 2017 17:10:57 +0000 (19:10 +0200)]
Add missing AndnotGate() and OrnotGate() declarations to rtlil.h

7 years agoAdd $_ANDNOT_ and $_ORNOT_ gates
Clifford Wolf [Wed, 17 May 2017 07:08:29 +0000 (09:08 +0200)]
Add $_ANDNOT_ and $_ORNOT_ gates

7 years agoAdd <modname>_init() function generator to simpleC back-end
Clifford Wolf [Tue, 16 May 2017 17:34:07 +0000 (19:34 +0200)]
Add <modname>_init() function generator to simpleC back-end

7 years agoImprove simplec back-end
Clifford Wolf [Tue, 16 May 2017 06:50:23 +0000 (08:50 +0200)]
Improve simplec back-end

7 years agoImprove simplec back-end
Clifford Wolf [Mon, 15 May 2017 11:21:59 +0000 (13:21 +0200)]
Improve simplec back-end

7 years agoImprove simplec back-end
Clifford Wolf [Sun, 14 May 2017 11:14:49 +0000 (13:14 +0200)]
Improve simplec back-end

7 years agoImprove simplec back-end
Clifford Wolf [Sat, 13 May 2017 16:47:31 +0000 (18:47 +0200)]
Improve simplec back-end

7 years agoImprove simplec back-end
Clifford Wolf [Fri, 12 May 2017 20:36:53 +0000 (22:36 +0200)]
Improve simplec back-end

7 years agoAdded support for more gate types to simplec back-end
Clifford Wolf [Fri, 12 May 2017 15:42:31 +0000 (17:42 +0200)]
Added support for more gate types to simplec back-end

7 years agoAdd first draft of simple C back-end
Clifford Wolf [Fri, 12 May 2017 12:13:33 +0000 (14:13 +0200)]
Add first draft of simple C back-end

7 years agoUpdate ABC to hg rev e79576e10d72
Clifford Wolf [Thu, 11 May 2017 08:32:32 +0000 (10:32 +0200)]
Update ABC to hg rev e79576e10d72

7 years agoFix boolector support in yosys-smtbmc
Clifford Wolf [Mon, 8 May 2017 12:33:22 +0000 (14:33 +0200)]
Fix boolector support in yosys-smtbmc

7 years agoAdd support for localparam in module header
Clifford Wolf [Sun, 30 Apr 2017 15:20:30 +0000 (17:20 +0200)]
Add support for localparam in module header

7 years agoFix equiv_simple, old behavior now available with "equiv_simple -short"
Clifford Wolf [Fri, 28 Apr 2017 16:54:53 +0000 (18:54 +0200)]
Fix equiv_simple, old behavior now available with "equiv_simple -short"

7 years agoAdd support for `resetall compiler directive
Clifford Wolf [Wed, 26 Apr 2017 14:09:32 +0000 (16:09 +0200)]
Add support for `resetall compiler directive

7 years agoReplace CRLF line endings with LF in de2i.qsf (quartus example)
Clifford Wolf [Wed, 12 Apr 2017 14:51:46 +0000 (16:51 +0200)]
Replace CRLF line endings with LF in de2i.qsf (quartus example)

7 years agoSquelch trailing whitespace
Larry Doolittle [Sun, 9 Apr 2017 03:54:31 +0000 (20:54 -0700)]
Squelch trailing whitespace

7 years agoAdd MAX10 and Cyclone IV items to CHANGELOG
Clifford Wolf [Fri, 7 Apr 2017 08:01:28 +0000 (10:01 +0200)]
Add MAX10 and Cyclone IV items to CHANGELOG

7 years agoMerge pull request #337 from dh73/master
Clifford Wolf [Fri, 7 Apr 2017 07:58:54 +0000 (09:58 +0200)]
Merge pull request #337 from dh73/master

Add initial support for both MAX10 and Cyclone IV (E|GX) FPGAs

7 years agoAdd initial support for both MAX10 and Cyclone IV (E|GX) FPGAs
dh73 [Thu, 6 Apr 2017 04:01:29 +0000 (23:01 -0500)]
Add initial support for both MAX10 and Cyclone IV (E|GX) FPGAs

7 years agoAdd ConstEval defaultval feature
Clifford Wolf [Wed, 5 Apr 2017 09:25:22 +0000 (11:25 +0200)]
Add ConstEval defaultval feature

7 years agoFix gcc compiler warning
Clifford Wolf [Wed, 5 Apr 2017 09:21:06 +0000 (11:21 +0200)]
Fix gcc compiler warning

7 years agoAdd front-end detection for *.tcl files
Clifford Wolf [Tue, 28 Mar 2017 10:13:58 +0000 (12:13 +0200)]
Add front-end detection for *.tcl files

7 years agoAdd minisat 00_PATCH_typofixes.patch
Clifford Wolf [Mon, 27 Mar 2017 12:36:24 +0000 (14:36 +0200)]
Add minisat 00_PATCH_typofixes.patch

7 years agoRemove use of <fpu_control.h> in minisat
Clifford Wolf [Mon, 27 Mar 2017 12:32:43 +0000 (14:32 +0200)]
Remove use of <fpu_control.h> in minisat

7 years agoAdd "write_smt2 -stdt" mode
Clifford Wolf [Mon, 20 Mar 2017 11:00:35 +0000 (12:00 +0100)]
Add "write_smt2 -stdt" mode

7 years agoAdd generation of logic cells to EDIF back-end runtest.py
Clifford Wolf [Sun, 19 Mar 2017 13:57:40 +0000 (14:57 +0100)]
Add generation of logic cells to EDIF back-end runtest.py

7 years agoFix EDIF: portRef member 0 is always the MSB bit
Clifford Wolf [Sun, 19 Mar 2017 13:53:28 +0000 (14:53 +0100)]
Fix EDIF: portRef member 0 is always the MSB bit

7 years agoAdd simple EDIF test case generator and checker
Clifford Wolf [Sat, 18 Mar 2017 14:00:03 +0000 (15:00 +0100)]
Add simple EDIF test case generator and checker

7 years agoFix verilog pre-processor for multi-level relative includes
Clifford Wolf [Tue, 14 Mar 2017 16:27:28 +0000 (17:27 +0100)]
Fix verilog pre-processor for multi-level relative includes

7 years agoImprove smt2 encodings of assert/assume/cover, better wire_smt2 help msg
Clifford Wolf [Sat, 4 Mar 2017 22:41:54 +0000 (23:41 +0100)]
Improve smt2 encodings of assert/assume/cover, better wire_smt2 help msg

7 years agoAdd write_aiger $anyseq support
Clifford Wolf [Thu, 2 Mar 2017 15:39:48 +0000 (16:39 +0100)]
Add write_aiger $anyseq support