yosys.git
4 years agoabc9_ops: more robust
Eddie Hung [Wed, 15 Apr 2020 22:50:57 +0000 (15:50 -0700)]
abc9_ops: more robust

4 years agoabc9: suppress warnings when no compatible + used flop boxes formed
Eddie Hung [Wed, 15 Apr 2020 22:41:55 +0000 (15:41 -0700)]
abc9: suppress warnings when no compatible + used flop boxes formed

4 years agoxilinx: update abc9_dff tests
Eddie Hung [Wed, 15 Apr 2020 19:28:03 +0000 (12:28 -0700)]
xilinx: update abc9_dff tests

4 years agoxilinx: remove no-longer-relevant test
Eddie Hung [Wed, 15 Apr 2020 19:27:26 +0000 (12:27 -0700)]
xilinx: remove no-longer-relevant test

4 years agoaiger/xaiger: use odd for negedge clk, even for posedge
Eddie Hung [Wed, 15 Apr 2020 19:15:36 +0000 (12:15 -0700)]
aiger/xaiger: use odd for negedge clk, even for posedge

Since abc9 doesn't like negative mergeability values

4 years agoabc9: cleanup
Eddie Hung [Wed, 15 Apr 2020 16:38:29 +0000 (09:38 -0700)]
abc9: cleanup

4 years agoRevert "ecp5: replace ecp5_ffinit with techmap rules + dff2dffs -match-init"
Eddie Hung [Tue, 14 Apr 2020 19:56:28 +0000 (12:56 -0700)]
Revert "ecp5: replace ecp5_ffinit with techmap rules + dff2dffs -match-init"

This reverts commit 8c702b6cc0221a00021a3e4661c883bb591c924b.

4 years agoabc9_ops: -prep_dff_map to check $_DFF_[NP]_.Q drives module output
Eddie Hung [Tue, 14 Apr 2020 19:35:12 +0000 (12:35 -0700)]
abc9_ops: -prep_dff_map to check $_DFF_[NP]_.Q drives module output

4 years agoabc9_ops: do away with '$abc9_cells' selection
Eddie Hung [Tue, 14 Apr 2020 18:38:44 +0000 (11:38 -0700)]
abc9_ops: do away with '$abc9_cells' selection

4 years agoabc9_ops: use new 'design -delete' and 'select -unset'
Eddie Hung [Tue, 14 Apr 2020 18:10:48 +0000 (11:10 -0700)]
abc9_ops: use new 'design -delete' and 'select -unset'

4 years agoecp5: (* abc9_flop *) gated behind YOSYS
Eddie Hung [Tue, 14 Apr 2020 17:36:07 +0000 (10:36 -0700)]
ecp5: (* abc9_flop *) gated behind YOSYS

4 years agosubmod: revert accidental change
Eddie Hung [Tue, 14 Apr 2020 15:53:07 +0000 (08:53 -0700)]
submod: revert accidental change

4 years agoRevert "Merge branch 'eddie/kernel_makeblackbox' into eddie/abc9_auto_dff"
Eddie Hung [Tue, 14 Apr 2020 15:18:04 +0000 (08:18 -0700)]
Revert "Merge branch 'eddie/kernel_makeblackbox' into eddie/abc9_auto_dff"

This reverts commit e08497c7c9d8a6f7a3eccddf2149c45d9ecff207, reversing
changes made to e366fd55122236a21c6daee6765724add840a1f9.

4 years agoxaiger: update help text
Eddie Hung [Tue, 14 Apr 2020 15:03:58 +0000 (08:03 -0700)]
xaiger: update help text

4 years agoecp5: add synth_ecp5 -dff to work with -abc9
Eddie Hung [Tue, 14 Apr 2020 14:51:23 +0000 (07:51 -0700)]
ecp5: add synth_ecp5 -dff to work with -abc9

4 years agoabc9_ops: -prep_dff_map to warn if no specify cells
Eddie Hung [Tue, 14 Apr 2020 14:49:55 +0000 (07:49 -0700)]
abc9_ops: -prep_dff_map to warn if no specify cells

4 years agoice40: synth_ice40 cleanup
Eddie Hung [Tue, 14 Apr 2020 14:48:37 +0000 (07:48 -0700)]
ice40: synth_ice40 cleanup

4 years agoecp5: replace ecp5_ffinit with techmap rules + dff2dffs -match-init
Eddie Hung [Tue, 14 Apr 2020 14:31:07 +0000 (07:31 -0700)]
ecp5: replace ecp5_ffinit with techmap rules + dff2dffs -match-init

4 years agokernel: Module::makeblackbox() to clear connections + delete wires last
Eddie Hung [Tue, 14 Apr 2020 02:08:46 +0000 (19:08 -0700)]
kernel: Module::makeblackbox() to clear connections + delete wires last

4 years agoice40: add synth_ice40 -dff option, support with -abc9
Eddie Hung [Tue, 14 Apr 2020 00:32:21 +0000 (17:32 -0700)]
ice40: add synth_ice40 -dff option, support with -abc9

4 years agoice40: split out cells_map.v into ff_map.v
Eddie Hung [Tue, 14 Apr 2020 00:31:44 +0000 (17:31 -0700)]
ice40: split out cells_map.v into ff_map.v

4 years agoabc9_ops: -prep_dff_map to cope with plain $_DFF_[NP]_ flops
Eddie Hung [Tue, 14 Apr 2020 00:30:29 +0000 (17:30 -0700)]
abc9_ops: -prep_dff_map to cope with plain $_DFF_[NP]_ flops

4 years agosynth_xilinx: rename dff_mode -> dff
Eddie Hung [Mon, 13 Apr 2020 23:21:08 +0000 (16:21 -0700)]
synth_xilinx: rename dff_mode -> dff

4 years agoxaiger: do not treat (* init=1'bx *) as 1'b0
Eddie Hung [Mon, 13 Apr 2020 23:20:15 +0000 (16:20 -0700)]
xaiger: do not treat (* init=1'bx *) as 1'b0

4 years agoabc9: cleanup
Eddie Hung [Mon, 13 Apr 2020 20:12:45 +0000 (13:12 -0700)]
abc9: cleanup

4 years agoabc9_ops: do not use (* abc9_init *)
Eddie Hung [Mon, 13 Apr 2020 20:12:37 +0000 (13:12 -0700)]
abc9_ops: do not use (* abc9_init *)

4 years agoaiger: -xaiger to parse initial state back into (* init *) on Q wire
Eddie Hung [Mon, 13 Apr 2020 20:11:25 +0000 (13:11 -0700)]
aiger: -xaiger to parse initial state back into (* init *) on Q wire

4 years agoxaiger: when -dff use (* init *) for initial state
Eddie Hung [Mon, 13 Apr 2020 20:10:57 +0000 (13:10 -0700)]
xaiger: when -dff use (* init *) for initial state

4 years agoabc9_ops: add 'dff' label for auto handling of (* abc9_flop *) boxes
Eddie Hung [Mon, 13 Apr 2020 16:38:07 +0000 (09:38 -0700)]
abc9_ops: add 'dff' label for auto handling of (* abc9_flop *) boxes

4 years agoabc9: fix behaviour and help for -box option
Eddie Hung [Thu, 9 Apr 2020 21:42:43 +0000 (14:42 -0700)]
abc9: fix behaviour and help for -box option

4 years agoaiger: -xaiger to read $_DFF_[NP]_ back with new clocks created
Eddie Hung [Thu, 9 Apr 2020 21:31:14 +0000 (14:31 -0700)]
aiger: -xaiger to read $_DFF_[NP]_ back with new clocks created

according to mergeability class, and init state as cell attr

4 years agoxaiger: output $_DFF_[NP]_ with mergeability if -dff option
Eddie Hung [Thu, 9 Apr 2020 21:26:52 +0000 (14:26 -0700)]
xaiger: output $_DFF_[NP]_ with mergeability if -dff option

4 years agoMerge pull request #2045 from YosysHQ/eddie/fix2042
Eddie Hung [Thu, 14 May 2020 16:45:54 +0000 (09:45 -0700)]
Merge pull request #2045 from YosysHQ/eddie/fix2042

verilog: error if no direction given for task arguments, default to input in SV mode

4 years agoMerge pull request #2052 from YosysHQ/claire/verific_memfix
Claire Wolf [Thu, 14 May 2020 16:45:13 +0000 (18:45 +0200)]
Merge pull request #2052 from YosysHQ/claire/verific_memfix

Add support for non-power-of-two mem chunks in verific importer

4 years agoMerge pull request #2050 from YosysHQ/eddie/opt_clean_fixes
Claire Wolf [Thu, 14 May 2020 16:31:16 +0000 (18:31 +0200)]
Merge pull request #2050 from YosysHQ/eddie/opt_clean_fixes

opt_clean: remove (* init *) regardless of -purge, remove (* init *) when consistent with sigmap, clean to behave identically

4 years agoMerge pull request #2027 from YosysHQ/eddie/verilog_neg_upto
Claire Wolf [Thu, 14 May 2020 16:06:18 +0000 (18:06 +0200)]
Merge pull request #2027 from YosysHQ/eddie/verilog_neg_upto

 ast: swap range regardless of range_left >= 0

4 years agotest: add another testcase as per @nakengelhardt
Eddie Hung [Thu, 14 May 2020 15:36:36 +0000 (08:36 -0700)]
test: add another testcase as per @nakengelhardt

4 years agoAdd support for non-power-of-two mem chunks in verific importer
Claire Wolf [Thu, 14 May 2020 12:38:13 +0000 (14:38 +0200)]
Add support for non-power-of-two mem chunks in verific importer

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoopt_clean: improve warning message
Eddie Hung [Thu, 14 May 2020 07:59:38 +0000 (00:59 -0700)]
opt_clean: improve warning message

4 years agoopt_clean: add init test
Eddie Hung [Thu, 14 May 2020 07:26:23 +0000 (00:26 -0700)]
opt_clean: add init test

4 years agoopt_clean: rminit without -purge; also remove if consistent with const..
Eddie Hung [Thu, 14 May 2020 07:24:23 +0000 (00:24 -0700)]
opt_clean: rminit without -purge; also remove if consistent with const..

warn otherwise

4 years agoopt_clean: really make 'clean' identical to 'opt_clean' by rminit too
Eddie Hung [Thu, 14 May 2020 07:19:58 +0000 (00:19 -0700)]
opt_clean: really make 'clean' identical to 'opt_clean' by rminit too

4 years agoverilog: default to input in sv mode if task/func has no dir ...
Eddie Hung [Wed, 13 May 2020 20:33:37 +0000 (13:33 -0700)]
verilog: default to input in sv mode if task/func has no dir ...

otherwise error

4 years agotests: update/extend task argument tests
Eddie Hung [Wed, 13 May 2020 17:11:45 +0000 (10:11 -0700)]
tests: update/extend task argument tests

4 years agoice40: fix ICESTORM_LC process sensitivity
Eddie Hung [Tue, 12 May 2020 22:40:48 +0000 (15:40 -0700)]
ice40: fix ICESTORM_LC process sensitivity

4 years agoice40: fix whitespace
Eddie Hung [Tue, 12 May 2020 22:40:13 +0000 (15:40 -0700)]
ice40: fix whitespace

4 years agoecp5: Add missing SERDES parameters
David Shah [Tue, 12 May 2020 20:12:26 +0000 (21:12 +0100)]
ecp5: Add missing SERDES parameters

Signed-off-by: David Shah <dave@ds0.me>
4 years agoverilog: error out when non-ANSI task/func arguments
Eddie Hung [Mon, 11 May 2020 20:00:36 +0000 (13:00 -0700)]
verilog: error out when non-ANSI task/func arguments

4 years agotests: add #2042 testcase
Eddie Hung [Mon, 11 May 2020 18:05:19 +0000 (11:05 -0700)]
tests: add #2042 testcase

4 years agoSetup tests/verilog properly
Eddie Hung [Mon, 11 May 2020 17:30:20 +0000 (10:30 -0700)]
Setup tests/verilog properly

4 years agoMerge pull request #2038 from nakengelhardt/no-libdir-flag
Claire Wolf [Fri, 8 May 2020 08:40:25 +0000 (10:40 +0200)]
Merge pull request #2038 from nakengelhardt/no-libdir-flag

Remove yosys libdir from LDFLAGS (and fix a typo)

4 years agoFix clang compiler warning
Claire Wolf [Fri, 8 May 2020 08:13:39 +0000 (10:13 +0200)]
Fix clang compiler warning

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoMerge pull request #2022 from Xiretza/fallthroughs
whitequark [Fri, 8 May 2020 05:30:32 +0000 (05:30 +0000)]
Merge pull request #2022 from Xiretza/fallthroughs

Avoid switch fall-through warnings

4 years agointel_alm: direct LUTRAM cell instantiation
Dan Ravensloft [Thu, 16 Apr 2020 11:24:04 +0000 (12:24 +0100)]
intel_alm: direct LUTRAM cell instantiation

By instantiating the LUTRAM cell directly, we avoid a trip through
altsyncram, which speeds up Quartus synthesis time. This also gives
a little more flexibility, as Yosys can build RAMs out of individual
32x1 LUTRAM cells.

While working on this, I discovered that the mem_init0 parameter of
<family>_mlab_cell gets ignored by Quartus.

4 years agoRemove yosys libdir from LDFLAGS (and fix a typo)
N. Engelhardt [Thu, 7 May 2020 17:28:18 +0000 (19:28 +0200)]
Remove yosys libdir from LDFLAGS (and fix a typo)

4 years agoMerge pull request #2005 from YosysHQ/claire/fix1990
Claire Wolf [Thu, 7 May 2020 16:11:48 +0000 (18:11 +0200)]
Merge pull request #2005 from YosysHQ/claire/fix1990

Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offset

4 years agoMerge pull request #2034 from YosysHQ/eddie/abc_remote
Eddie Hung [Thu, 7 May 2020 15:07:42 +0000 (08:07 -0700)]
Merge pull request #2034 from YosysHQ/eddie/abc_remote

Makefile: git fetch $(ABCURL) explicitly for local ABC checkout

4 years agoReorder cases to avoid fall-through warning
Xiretza [Thu, 7 May 2020 09:44:38 +0000 (11:44 +0200)]
Reorder cases to avoid fall-through warning

log_assert(false) never returns and thus can't fall through, but gcc
doesn't seem to think that far. Making it the last case avoids the
problem entirely.

4 years agoAdd YS_FALLTHROUGH macro to mark case fall-through
Xiretza [Mon, 4 May 2020 19:12:30 +0000 (21:12 +0200)]
Add YS_FALLTHROUGH macro to mark case fall-through

C++17 introduced [[fallthrough]], GCC and clang had their own vendored
attributes before that. MSVC doesn't seem to have such a warning at all.

4 years agoMakefile: git fetch all commits from $(ABCURL) repo
Eddie Hung [Wed, 6 May 2020 23:23:46 +0000 (16:23 -0700)]
Makefile: git fetch all commits from $(ABCURL) repo

4 years agoMerge pull request #2028 from zachjs/master
Eddie Hung [Wed, 6 May 2020 19:10:28 +0000 (12:10 -0700)]
Merge pull request #2028 from zachjs/master

verilog: allow null gen-if then block

4 years agoverilog: allow null gen-if then block
Zachary Snow [Tue, 5 May 2020 00:22:16 +0000 (20:22 -0400)]
verilog: allow null gen-if then block

4 years agotechlibs/common: more robustness when *_WIDTH = 0
Eddie Hung [Tue, 5 May 2020 15:01:27 +0000 (08:01 -0700)]
techlibs/common: more robustness when *_WIDTH = 0

4 years agoMerge pull request #2025 from YosysHQ/eddie/frontend_cleanup
Eddie Hung [Tue, 5 May 2020 14:59:40 +0000 (07:59 -0700)]
Merge pull request #2025 from YosysHQ/eddie/frontend_cleanup

frontend: cleanup to use more ID::*, more dict<> instead of map<>

4 years agoMerge pull request #2012 from whitequark/fix-wasi-abc-build
whitequark [Tue, 5 May 2020 14:03:40 +0000 (14:03 +0000)]
Merge pull request #2012 from whitequark/fix-wasi-abc-build

Fix WASI builds with abc enabled

4 years agoMerge pull request #2026 from YosysHQ/eddie/scratchpad_abc9_W
Eddie Hung [Tue, 5 May 2020 13:49:36 +0000 (06:49 -0700)]
Merge pull request #2026 from YosysHQ/eddie/scratchpad_abc9_W

synth_ice40/ecp5/xilinx: allow abc9.W to be overridden via scratchpad

4 years agoMerge pull request #2024 from YosysHQ/eddie/primitive_src
Eddie Hung [Tue, 5 May 2020 13:49:18 +0000 (06:49 -0700)]
Merge pull request #2024 from YosysHQ/eddie/primitive_src

verilog: set src attribute for primitives

4 years agoMerge pull request #2023 from YosysHQ/eddie/specify_src
Eddie Hung [Tue, 5 May 2020 13:49:06 +0000 (06:49 -0700)]
Merge pull request #2023 from YosysHQ/eddie/specify_src

verilog: fix specify src attribute

4 years agoast: swap range regardless of range_left >= 0
Eddie Hung [Mon, 4 May 2020 19:18:20 +0000 (12:18 -0700)]
ast: swap range regardless of range_left >= 0

4 years agotest: add failing test
Eddie Hung [Mon, 4 May 2020 19:18:02 +0000 (12:18 -0700)]
test: add failing test

4 years agosynth_ice40/ecp5/xilinx: allow abc9.W to be overridden via scratchpad
Eddie Hung [Mon, 4 May 2020 18:44:00 +0000 (11:44 -0700)]
synth_ice40/ecp5/xilinx: allow abc9.W to be overridden via scratchpad

4 years agoverilog: fix specify src attribute
Eddie Hung [Mon, 4 May 2020 17:53:06 +0000 (10:53 -0700)]
verilog: fix specify src attribute

4 years agofrontend: cleanup to use more ID::*, more dict<> instead of map<>
Eddie Hung [Mon, 4 May 2020 17:48:37 +0000 (10:48 -0700)]
frontend: cleanup to use more ID::*, more dict<> instead of map<>

4 years agoverilog: set src attribute for primitives
Eddie Hung [Mon, 4 May 2020 17:22:05 +0000 (10:22 -0700)]
verilog: set src attribute for primitives

4 years agotests: add tests for primitives' src
Eddie Hung [Mon, 4 May 2020 17:21:47 +0000 (10:21 -0700)]
tests: add tests for primitives' src

4 years agoMerge pull request #1996 from boqwxp/rtlil_source_locations
Eddie Hung [Mon, 4 May 2020 15:58:50 +0000 (08:58 -0700)]
Merge pull request #1996 from boqwxp/rtlil_source_locations

frontend: Include complete source location instead of just `location.first_line` in `frontends/ast/genrtlil.cc`.

4 years agoMerge pull request #2000 from whitequark/log_error-trap
whitequark [Sun, 3 May 2020 16:19:42 +0000 (16:19 +0000)]
Merge pull request #2000 from whitequark/log_error-trap

kernel: Trap in `log_error()` when a debugger is attached

4 years agokernel: Trap in `log_error()` when a debugger is attached.
whitequark [Fri, 24 Apr 2020 19:37:47 +0000 (19:37 +0000)]
kernel: Trap in `log_error()` when a debugger is attached.

The workflow of debugging fatal pass errors in Yosys is flawed in
three ways:
 1. Running Yosys under a debugger is sufficient for the debugger
    to catch some fatal errors (segfaults, aborts, STL exceptions)
    but not others (`log_error()`, `log_cmd_error()`). This is
    neither obvious nor easy to remember.
 2. To catch Yosys-specific fatal errors, it is necessary to set
    a breakpoint at `logv_error_with_prefix()`, or at least,
    `logv_error()`. This is neither obvious nor easy to remember,
    and GDB's autocomplete takes many seconds to suggest function
    names due to the large amount of symbols in Yosys.
 3. If a breakpoint is not set and Yosys encounters with such
    a fatal error, the process terminates. When debugging a crash
    that takes a long time to reproduce (or a nondeterministic crash)
    this can waste a significant amount of time.

To solve this problem, add a macro `YS_DEBUGTRAP` that acts as a hard
breakpoint (if available), and a macro `YS_DEBUGTRAP_IF_DEBUGGING`
that acts as a hard breakpoint only if debugger is present.

Then, use `YS_DEBUGTRAP_IF_DEBUGGING` in `logv_error_with_prefix()`
to obviate the need for a breakpoint on nearly every platform.

Co-Authored-By: Alberto Gonzalez <boqwxp@airmail.cc>
4 years agoMerge pull request #2014 from YosysHQ/claire/fixoptalu
Claire Wolf [Sun, 3 May 2020 09:56:29 +0000 (11:56 +0200)]
Merge pull request #2014 from YosysHQ/claire/fixoptalu

Fix the other "opt_expr -fine" bug introduced in 213a89558

4 years agotest: add test for #2014
Eddie Hung [Sat, 2 May 2020 21:22:37 +0000 (14:22 -0700)]
test: add test for #2014

4 years agoMerge pull request #2013 from YosysHQ/eddie/aiger_fixes
Eddie Hung [Sat, 2 May 2020 21:16:10 +0000 (14:16 -0700)]
Merge pull request #2013 from YosysHQ/eddie/aiger_fixes

 aiger: fixes for ports that have start_offset != 0

4 years agoFix the other "opt_expr -fine" bug introduced in 213a89558
Claire Wolf [Sat, 2 May 2020 19:34:24 +0000 (21:34 +0200)]
Fix the other "opt_expr -fine" bug introduced in 213a89558

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoFix the other "opt_expr -fine" bug introduced in 213a89558
Claire Wolf [Sat, 2 May 2020 19:34:24 +0000 (21:34 +0200)]
Fix the other "opt_expr -fine" bug introduced in 213a89558

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoabc9_ops: -reintegrate to be sensitive to start_offset too
Eddie Hung [Sat, 2 May 2020 18:19:04 +0000 (11:19 -0700)]
abc9_ops: -reintegrate to be sensitive to start_offset too

4 years agotests: aiger test for wire->start_offset != 0
Eddie Hung [Sat, 2 May 2020 16:56:10 +0000 (09:56 -0700)]
tests: aiger test for wire->start_offset != 0

4 years agoaiger: fixes for ports that have start_offset != 0
Eddie Hung [Sat, 2 May 2020 16:55:34 +0000 (09:55 -0700)]
aiger: fixes for ports that have start_offset != 0

4 years agoAdd plusargs for output files in test_autotb output
Claire Wolf [Fri, 1 May 2020 15:26:34 +0000 (17:26 +0200)]
Add plusargs for output files in test_autotb output

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoBugfix in partsel.v signed indices test cases
Claire Wolf [Fri, 1 May 2020 15:26:07 +0000 (17:26 +0200)]
Bugfix in partsel.v signed indices test cases

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoFix handling of signed indices in bit slices
Claire Wolf [Fri, 1 May 2020 15:25:33 +0000 (17:25 +0200)]
Fix handling of signed indices in bit slices

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoAdd tests based on the test case from #1990
Claire Wolf [Wed, 29 Apr 2020 12:28:54 +0000 (14:28 +0200)]
Add tests based on the test case from #1990

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoAdd AST_SELFSZ and improve handling of bit slices
Claire Wolf [Wed, 29 Apr 2020 12:28:04 +0000 (14:28 +0200)]
Add AST_SELFSZ and improve handling of bit slices

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoAdd "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offset,...
Claire Wolf [Mon, 27 Apr 2020 15:04:47 +0000 (17:04 +0200)]
Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offset, fixes #1990

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoMerge pull request #2010 from YosysHQ/claire/fixopt
Claire Wolf [Sat, 2 May 2020 09:20:02 +0000 (11:20 +0200)]
Merge pull request #2010 from YosysHQ/claire/fixopt

Fix "opt_expr -fine" bug introduced in 213a89558

4 years agoUpdate ABC to include WASI support fixes.
whitequark [Sat, 2 May 2020 00:18:33 +0000 (00:18 +0000)]
Update ABC to include WASI support fixes.

4 years agoFix WASI builds with abc enabled.
whitequark [Fri, 1 May 2020 23:57:35 +0000 (23:57 +0000)]
Fix WASI builds with abc enabled.

This PR works around #2011.

4 years agoMerge pull request #2001 from whitequark/wasi
whitequark [Fri, 1 May 2020 21:28:20 +0000 (21:28 +0000)]
Merge pull request #2001 from whitequark/wasi

Add WASI platform support

4 years agoAdd testcase for #2010
Eddie Hung [Fri, 1 May 2020 21:07:33 +0000 (14:07 -0700)]
Add testcase for #2010

4 years agoFix "opt_expr -fine" bug introduced in 213a89558
Claire Wolf [Fri, 1 May 2020 17:10:26 +0000 (19:10 +0200)]
Fix "opt_expr -fine" bug introduced in 213a89558

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
4 years agoMerge pull request #1997 from whitequark/document-ootb
Claire Wolf [Fri, 1 May 2020 13:35:33 +0000 (15:35 +0200)]
Merge pull request #1997 from whitequark/document-ootb

Explain how to do out-of-tree builds in README

4 years agoMerge pull request #1981 from YosysHQ/claire/fix1837
Claire Wolf [Fri, 1 May 2020 12:58:41 +0000 (14:58 +0200)]
Merge pull request #1981 from YosysHQ/claire/fix1837

Clear current_scope when done with RTLIL generation