yosys.git
6 years agocoolrunner2: Split multi-bit nets
Robert Ou [Sat, 31 Mar 2018 09:56:11 +0000 (02:56 -0700)]
coolrunner2: Split multi-bit nets

The PAR tool doesn't expect any "dangling" nets with no drivers nor
sinks. By splitting the nets, clean removes them.

6 years agocoolrunner2: Add extraction for TFFs
Robert Ou [Sat, 31 Mar 2018 09:54:26 +0000 (02:54 -0700)]
coolrunner2: Add extraction for TFFs

6 years agoAdd smtio status msgs when --progress is inactive
Clifford Wolf [Thu, 29 Mar 2018 19:59:30 +0000 (21:59 +0200)]
Add smtio status msgs when --progress is inactive

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoBugfix in smtio.py VCD file generator
Clifford Wolf [Thu, 29 Mar 2018 10:45:31 +0000 (12:45 +0200)]
Bugfix in smtio.py VCD file generator

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoRemoved $timescale from "sat" command VCD writer
Clifford Wolf [Thu, 29 Mar 2018 10:38:41 +0000 (12:38 +0200)]
Removed $timescale from "sat" command VCD writer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoSet stack size to at least 128 MB (large stack needed for parsing huge expressions)
Clifford Wolf [Tue, 27 Mar 2018 13:04:10 +0000 (15:04 +0200)]
Set stack size to at least 128 MB (large stack needed for parsing huge expressions)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix tests/simple/specify.v
Clifford Wolf [Tue, 27 Mar 2018 12:31:19 +0000 (14:31 +0200)]
Fix tests/simple/specify.v

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFirst draft of Verilog parser support for specify blocks and parameters.
Udi Finkelstein [Sun, 4 Mar 2018 21:35:08 +0000 (23:35 +0200)]
First draft of Verilog parser support for specify blocks and parameters.
The only functionality of this code at the moment is to accept correct specify syntax and ignore it.
No part of the specify block is added to the AST

6 years agoMerge pull request #515 from edcote/patch-1
Clifford Wolf [Tue, 27 Mar 2018 12:14:51 +0000 (14:14 +0200)]
Merge pull request #515 from edcote/patch-1

Rename rename to renames

6 years agoChenged "extensions_map" to "extensions_list" in hierarchy.cc
Clifford Wolf [Tue, 27 Mar 2018 12:12:57 +0000 (14:12 +0200)]
Chenged "extensions_map" to "extensions_list" in hierarchy.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #518 from xerpi/master
Clifford Wolf [Tue, 27 Mar 2018 12:10:39 +0000 (14:10 +0200)]
Merge pull request #518 from xerpi/master

passes/hierarchy: Reduce code duplication in expand_module

6 years agopasses/hierarchy: Reduce code duplication in expand_module
Sergi Granell [Tue, 27 Mar 2018 07:35:20 +0000 (09:35 +0200)]
passes/hierarchy: Reduce code duplication in expand_module

This also makes it easier to add new file extensions support.

Signed-off-by: Sergi Granell <xerpi.g.12@gmail.com>
6 years agoAdd $mem support to SMT2 clock tagging
Clifford Wolf [Tue, 27 Mar 2018 00:11:20 +0000 (02:11 +0200)]
Add $mem support to SMT2 clock tagging

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix build for new ABC location on github, also update ABC to a2d59be
Clifford Wolf [Mon, 26 Mar 2018 22:39:01 +0000 (00:39 +0200)]
Fix build for new ABC location on github, also update ABC to a2d59be

6 years agoAdd .sv support to "hierarchy -libdir"
Clifford Wolf [Mon, 26 Mar 2018 19:19:00 +0000 (21:19 +0200)]
Add .sv support to "hierarchy -libdir"

6 years agoFix handling of unclocked immediate assertions in Verific front-end
Clifford Wolf [Mon, 26 Mar 2018 11:04:10 +0000 (13:04 +0200)]
Fix handling of unclocked immediate assertions in Verific front-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoRename rename to renames
Edmond Cote [Tue, 20 Mar 2018 22:50:50 +0000 (15:50 -0700)]
Rename rename to renames

Create TCL alias for rename command.  Using renames.  Following the same convention as proc -> procs.

6 years agoImprove yosys-smtbmc log output and error handling
Clifford Wolf [Sat, 17 Mar 2018 17:06:17 +0000 (18:06 +0100)]
Improve yosys-smtbmc log output and error handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoImprove handling of invalid check-sat result in smtio.py
Clifford Wolf [Sat, 17 Mar 2018 11:17:53 +0000 (12:17 +0100)]
Improve handling of invalid check-sat result in smtio.py

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate todo for more features to verificsva.cc
Clifford Wolf [Fri, 16 Mar 2018 14:48:48 +0000 (15:48 +0100)]
Update todo for more features to verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate todo for more features to verificsva.cc
Clifford Wolf [Fri, 16 Mar 2018 11:16:52 +0000 (12:16 +0100)]
Update todo for more features to verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd todo for more features to verificsva.cc
Clifford Wolf [Fri, 16 Mar 2018 11:15:36 +0000 (12:15 +0100)]
Add todo for more features to verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoImprove import of memories via Verific
Clifford Wolf [Thu, 15 Mar 2018 17:20:37 +0000 (18:20 +0100)]
Improve import of memories via Verific

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix handling of SV compilation units in Verific front-end
Clifford Wolf [Wed, 14 Mar 2018 19:22:11 +0000 (20:22 +0100)]
Fix handling of SV compilation units in Verific front-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "expose -input"
Clifford Wolf [Mon, 12 Mar 2018 12:52:52 +0000 (13:52 +0100)]
Add "expose -input"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "setundef -undef"
Clifford Wolf [Mon, 12 Mar 2018 12:52:35 +0000 (13:52 +0100)]
Add "setundef -undef"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoSquelch trailing whitespace, including meta-whitespace
Larry Doolittle [Sat, 10 Mar 2018 17:59:06 +0000 (09:59 -0800)]
Squelch trailing whitespace, including meta-whitespace

6 years agoHarmonize uses of _WIN32 macro
Larry Doolittle [Tue, 6 Mar 2018 17:43:42 +0000 (09:43 -0800)]
Harmonize uses of _WIN32 macro

6 years agoFix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT
Clifford Wolf [Sat, 10 Mar 2018 15:24:01 +0000 (16:24 +0100)]
Fix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix variable name typo in verificsva.cc
Clifford Wolf [Sat, 10 Mar 2018 13:33:42 +0000 (14:33 +0100)]
Fix variable name typo in verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd support for trivial SVA sequences and properties
Clifford Wolf [Sat, 10 Mar 2018 13:32:01 +0000 (14:32 +0100)]
Add support for trivial SVA sequences and properties

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix handling of src attributes in flatten
Clifford Wolf [Sat, 10 Mar 2018 12:55:30 +0000 (13:55 +0100)]
Fix handling of src attributes in flatten

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoRemove debug prints from yosys-smtbmc VCD writer
Clifford Wolf [Thu, 8 Mar 2018 15:24:35 +0000 (16:24 +0100)]
Remove debug prints from yosys-smtbmc VCD writer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUse Verific hier_tree component for elaboration
Clifford Wolf [Thu, 8 Mar 2018 12:26:33 +0000 (13:26 +0100)]
Use Verific hier_tree component for elaboration

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoCheck results of (check-sat) in yosys-smtbmc
Clifford Wolf [Wed, 7 Mar 2018 21:54:19 +0000 (22:54 +0100)]
Check results of (check-sat) in yosys-smtbmc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix Verific handling of "assert property (..);" in always block
Clifford Wolf [Wed, 7 Mar 2018 19:06:02 +0000 (20:06 +0100)]
Fix Verific handling of "assert property (..);" in always block

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "verific -import -V"
Clifford Wolf [Wed, 7 Mar 2018 18:40:34 +0000 (19:40 +0100)]
Add "verific -import -V"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoSet Verific db_preserve_user_nets flag
Clifford Wolf [Wed, 7 Mar 2018 17:08:03 +0000 (18:08 +0100)]
Set Verific db_preserve_user_nets flag

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd Xilinx RAM64X1D and RAM128X1D simulation models
Clifford Wolf [Wed, 7 Mar 2018 16:31:07 +0000 (17:31 +0100)]
Add Xilinx RAM64X1D and RAM128X1D simulation models

6 years agoAdd "memory_nordff" pass
Clifford Wolf [Tue, 6 Mar 2018 22:31:51 +0000 (23:31 +0100)]
Add "memory_nordff" pass

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate comment about supported SVA in verificsva.cc
Clifford Wolf [Tue, 6 Mar 2018 14:47:33 +0000 (15:47 +0100)]
Update comment about supported SVA in verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT support
Clifford Wolf [Tue, 6 Mar 2018 14:39:46 +0000 (15:39 +0100)]
Add SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd SVA first_match() support
Clifford Wolf [Tue, 6 Mar 2018 14:06:35 +0000 (15:06 +0100)]
Add SVA first_match() support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd SVA within support
Clifford Wolf [Tue, 6 Mar 2018 13:41:27 +0000 (14:41 +0100)]
Add SVA within support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd support for SVA sequence intersect
Clifford Wolf [Tue, 6 Mar 2018 13:26:57 +0000 (14:26 +0100)]
Add support for SVA sequence intersect

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd get_fsm_accept_reject for parsing SVA properties
Clifford Wolf [Tue, 6 Mar 2018 10:50:38 +0000 (11:50 +0100)]
Add get_fsm_accept_reject for parsing SVA properties

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoSimplified SVA "until" handling
Clifford Wolf [Tue, 6 Mar 2018 00:51:42 +0000 (01:51 +0100)]
Simplified SVA "until" handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoImporove yosys-smtbmc error handling, Improve VCD output
Clifford Wolf [Mon, 5 Mar 2018 11:08:41 +0000 (12:08 +0100)]
Imporove yosys-smtbmc error handling, Improve VCD output

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix connwrappers help message
Clifford Wolf [Sun, 4 Mar 2018 21:54:34 +0000 (22:54 +0100)]
Fix connwrappers help message

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoImprove handling of warning messages
Clifford Wolf [Sun, 4 Mar 2018 21:35:59 +0000 (22:35 +0100)]
Improve handling of warning messages

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate copyright header
Clifford Wolf [Sun, 4 Mar 2018 20:31:10 +0000 (21:31 +0100)]
Update copyright header

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoImprove SMT2 encoding of $reduce_{and,or,bool}
Clifford Wolf [Sun, 4 Mar 2018 20:22:20 +0000 (21:22 +0100)]
Improve SMT2 encoding of $reduce_{and,or,bool}

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix a hangup in yosys-smtbmc error handling
Clifford Wolf [Sun, 4 Mar 2018 20:13:30 +0000 (21:13 +0100)]
Fix a hangup in yosys-smtbmc error handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd proper SVA seq.triggered support
Clifford Wolf [Sun, 4 Mar 2018 18:29:26 +0000 (19:29 +0100)]
Add proper SVA seq.triggered support

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "synth -noshare"
Clifford Wolf [Sun, 4 Mar 2018 16:13:45 +0000 (17:13 +0100)]
Add "synth -noshare"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd Verific SVA support for "seq and seq" expressions
Clifford Wolf [Sun, 4 Mar 2018 14:08:21 +0000 (15:08 +0100)]
Add Verific SVA support for "seq and seq" expressions

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoRefactor Verific SVA importer property parser
Clifford Wolf [Sun, 4 Mar 2018 13:29:48 +0000 (14:29 +0100)]
Refactor Verific SVA importer property parser

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd VerificClocking class and refactor Verific DFF handling
Clifford Wolf [Sun, 4 Mar 2018 12:48:53 +0000 (13:48 +0100)]
Add VerificClocking class and refactor Verific DFF handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoImproved error handling in yosys-smtbmc
Clifford Wolf [Sat, 3 Mar 2018 19:00:07 +0000 (20:00 +0100)]
Improved error handling in yosys-smtbmc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd SVA support for sequence OR
Clifford Wolf [Sat, 3 Mar 2018 15:34:28 +0000 (16:34 +0100)]
Add SVA support for sequence OR

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoTerminate running SMT solver when smtbmc is terminated
Clifford Wolf [Sat, 3 Mar 2018 13:50:40 +0000 (14:50 +0100)]
Terminate running SMT solver when smtbmc is terminated

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix smtbmc smtc/aiw parser for wire names containing []
Clifford Wolf [Sat, 3 Mar 2018 13:15:49 +0000 (14:15 +0100)]
Fix smtbmc smtc/aiw parser for wire names containing []

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix handling of SVA "until seq.triggered" properties
Clifford Wolf [Fri, 2 Mar 2018 17:17:10 +0000 (18:17 +0100)]
Fix handling of SVA "until seq.triggered" properties

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoUpdate SVA cheat sheet in verificsva.cc
Clifford Wolf [Fri, 2 Mar 2018 15:05:56 +0000 (16:05 +0100)]
Update SVA cheat sheet in verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix in Verific SVA importer handling of until_with
Clifford Wolf [Thu, 1 Mar 2018 18:37:36 +0000 (19:37 +0100)]
Fix in Verific SVA importer handling of until_with

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMangle names with square brackets in VCD files to work around issues in gtkwave
Clifford Wolf [Thu, 1 Mar 2018 13:15:27 +0000 (14:15 +0100)]
Mangle names with square brackets in VCD files to work around issues in gtkwave

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFixes and improvements in Verific SVA importer
Clifford Wolf [Thu, 1 Mar 2018 10:40:43 +0000 (11:40 +0100)]
Fixes and improvements in Verific SVA importer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd $rose/$fell support to Verific bindings
Clifford Wolf [Thu, 1 Mar 2018 09:12:15 +0000 (10:12 +0100)]
Add $rose/$fell support to Verific bindings

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge branch 'verificsva-ng'
Clifford Wolf [Wed, 28 Feb 2018 14:32:53 +0000 (15:32 +0100)]
Merge branch 'verificsva-ng'

6 years agoAdd support for PRIM_SVA_UNTIL to new SVA importer
Clifford Wolf [Wed, 28 Feb 2018 14:32:17 +0000 (15:32 +0100)]
Add support for PRIM_SVA_UNTIL to new SVA importer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd DFSM generator to verific SVA importer
Clifford Wolf [Wed, 28 Feb 2018 14:05:33 +0000 (15:05 +0100)]
Add DFSM generator to verific SVA importer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoContinue refactoring of Verific SVA importer code
Clifford Wolf [Wed, 28 Feb 2018 10:45:04 +0000 (11:45 +0100)]
Continue refactoring of Verific SVA importer code

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMajor redesign of Verific SVA importer
Clifford Wolf [Tue, 27 Feb 2018 19:33:15 +0000 (20:33 +0100)]
Major redesign of Verific SVA importer

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd -lz for verific builds
Clifford Wolf [Tue, 27 Feb 2018 11:15:42 +0000 (12:15 +0100)]
Add -lz for verific builds

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd handling of verific OPER_REDUCE_NOR
Clifford Wolf [Mon, 26 Feb 2018 14:26:01 +0000 (15:26 +0100)]
Add handling of verific OPER_REDUCE_NOR

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd handling of verific OPER_SELECTOR and OPER_WIDE_SELECTOR
Clifford Wolf [Mon, 26 Feb 2018 14:20:27 +0000 (15:20 +0100)]
Add handling of verific OPER_SELECTOR and OPER_WIDE_SELECTOR

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUX
Clifford Wolf [Mon, 26 Feb 2018 14:02:03 +0000 (15:02 +0100)]
Add handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUX

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd "SVA syntax cheat sheet" comment to verificsva.cc
Clifford Wolf [Mon, 26 Feb 2018 13:31:58 +0000 (14:31 +0100)]
Add "SVA syntax cheat sheet" comment to verificsva.cc

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd $dlatchsr support to clk2fflogic
Clifford Wolf [Mon, 26 Feb 2018 11:20:28 +0000 (12:20 +0100)]
Add $dlatchsr support to clk2fflogic

6 years agoSmall fixes and improvements in $allconst/$allseq handling
Clifford Wolf [Mon, 26 Feb 2018 10:58:44 +0000 (11:58 +0100)]
Small fixes and improvements in $allconst/$allseq handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix opt_rmdff handling of $dlatchsr
Clifford Wolf [Mon, 26 Feb 2018 10:46:05 +0000 (11:46 +0100)]
Fix opt_rmdff handling of $dlatchsr

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge branch 'forall'
Clifford Wolf [Fri, 23 Feb 2018 18:37:00 +0000 (19:37 +0100)]
Merge branch 'forall'

6 years agoAdd smtbmc support for exist-forall problems
Clifford Wolf [Fri, 23 Feb 2018 18:33:30 +0000 (19:33 +0100)]
Add smtbmc support for exist-forall problems

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd $allconst and $allseq cell types
Clifford Wolf [Fri, 23 Feb 2018 12:14:47 +0000 (13:14 +0100)]
Add $allconst and $allseq cell types

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd Verific SVA support for ranges in repetition operator
Clifford Wolf [Thu, 22 Feb 2018 11:37:30 +0000 (12:37 +0100)]
Add Verific SVA support for ranges in repetition operator

6 years agoAdd support for SVA throughout via Verific
Clifford Wolf [Wed, 21 Feb 2018 12:09:47 +0000 (13:09 +0100)]
Add support for SVA throughout via Verific

6 years agoAdd support for mockup clock signals in yosys-smtbmc vcd output
Clifford Wolf [Tue, 20 Feb 2018 16:45:22 +0000 (17:45 +0100)]
Add support for mockup clock signals in yosys-smtbmc vcd output

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge pull request #507 from cr1901/msys2
Clifford Wolf [Mon, 19 Feb 2018 18:32:11 +0000 (19:32 +0100)]
Merge pull request #507 from cr1901/msys2

Improve msys2 flags for building abc.

6 years agoImprove msys2 flags for building abc.
William D. Jones [Mon, 19 Feb 2018 17:43:44 +0000 (12:43 -0500)]
Improve msys2 flags for building abc.

6 years agoAdd support for SVA sequence concatenation ranges via verific
Clifford Wolf [Sun, 18 Feb 2018 15:35:06 +0000 (16:35 +0100)]
Add support for SVA sequence concatenation ranges via verific

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoAdd support for SVA until statements via Verific
Clifford Wolf [Sun, 18 Feb 2018 13:57:52 +0000 (14:57 +0100)]
Add support for SVA until statements via Verific

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMove Verific SVA importer to extra C++ source file
Clifford Wolf [Sun, 18 Feb 2018 12:52:49 +0000 (13:52 +0100)]
Move Verific SVA importer to extra C++ source file

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge Verific SVA preprocessor and SVA importer
Clifford Wolf [Sun, 18 Feb 2018 12:28:08 +0000 (13:28 +0100)]
Merge Verific SVA preprocessor and SVA importer

6 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Fri, 16 Feb 2018 13:22:11 +0000 (14:22 +0100)]
Merge branch 'master' of github.com:cliffordwolf/yosys

6 years agoImprove handling of "bus" pins in liberty front-end (some files use bus.pin.direction)
Clifford Wolf [Thu, 15 Feb 2018 16:36:08 +0000 (17:36 +0100)]
Improve handling of "bus" pins in liberty front-end (some files use bus.pin.direction)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoFix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFF
Clifford Wolf [Thu, 15 Feb 2018 14:26:37 +0000 (15:26 +0100)]
Fix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFF

6 years agoFixed yosys-config for binary distributions with Verific
Clifford Wolf [Tue, 13 Feb 2018 14:22:50 +0000 (15:22 +0100)]
Fixed yosys-config for binary distributions with Verific

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoRecognize stand-alone obj pattern even when it contains a slash
Clifford Wolf [Tue, 13 Feb 2018 13:55:24 +0000 (14:55 +0100)]
Recognize stand-alone obj pattern even when it contains a slash

6 years agoFix handling of zero-length cell connections in SMT2 back-end
Clifford Wolf [Thu, 8 Feb 2018 18:12:12 +0000 (19:12 +0100)]
Fix handling of zero-length cell connections in SMT2 back-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
6 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Sat, 3 Feb 2018 14:05:08 +0000 (15:05 +0100)]
Merge branch 'master' of github.com:cliffordwolf/yosys