litex.git
5 years agobuild/lattice: improve special_overrides names (vendor_family)
Florent Kermarrec [Sun, 28 Oct 2018 14:40:10 +0000 (15:40 +0100)]
build/lattice: improve special_overrides names (vendor_family)

5 years agoMerge pull request #114 from mithro/xilinx+yosys
enjoy-digital [Sun, 28 Oct 2018 14:00:06 +0000 (15:00 +0100)]
Merge pull request #114 from mithro/xilinx+yosys

WIP: Allow Yosys to be used for synthesis with Vivado

5 years agoMerge branch 'master' into xilinx+yosys
enjoy-digital [Sun, 28 Oct 2018 13:59:03 +0000 (14:59 +0100)]
Merge branch 'master' into xilinx+yosys

5 years agoMerge pull request #118 from mithro/uart-sync
enjoy-digital [Sun, 28 Oct 2018 07:02:22 +0000 (08:02 +0100)]
Merge pull request #118 from mithro/uart-sync

uart: Enable buffering the FIFO.

5 years agouart: Enable buffering the FIFO.
Tim 'mithro' Ansell [Sat, 27 Oct 2018 23:02:53 +0000 (16:02 -0700)]
uart: Enable buffering the FIFO.

On the iCE40 FPGA, adding buffering allows the SyncFIFO to be placed in
block RAM rather than consuming a large amount of resources.

5 years agoREADME: improve instructions for litex_sim
Florent Kermarrec [Sat, 27 Oct 2018 09:06:53 +0000 (11:06 +0200)]
README: improve instructions for litex_sim

5 years agobuild/sim/verilator: don't use THEADS parameters when threads=1
Florent Kermarrec [Sat, 27 Oct 2018 09:06:34 +0000 (11:06 +0200)]
build/sim/verilator: don't use THEADS parameters when threads=1

Allow using old (non multi-threaded) version of Verilator

5 years agosoc_sdram: update litedram
Florent Kermarrec [Fri, 19 Oct 2018 16:37:55 +0000 (18:37 +0200)]
soc_sdram: update litedram

5 years agobios/sdram: s7ddrphy now has bitslip in fabric, show scan for each module/bitslip...
Florent Kermarrec [Thu, 18 Oct 2018 11:42:51 +0000 (13:42 +0200)]
bios/sdram: s7ddrphy now has bitslip in fabric, show scan for each module/bitslip and remove silent mode

5 years agobuild/xilinx/vivado: enable xpm libraries
Florent Kermarrec [Thu, 18 Oct 2018 07:25:34 +0000 (09:25 +0200)]
build/xilinx/vivado: enable xpm libraries

5 years agosoc/cores/clock: add margin parameter to create_clkout (default = 1%)
Florent Kermarrec [Tue, 16 Oct 2018 12:57:37 +0000 (14:57 +0200)]
soc/cores/clock: add margin parameter to create_clkout (default = 1%)

5 years agobios/sdram: improve write/read leveling
Florent Kermarrec [Wed, 10 Oct 2018 08:42:56 +0000 (10:42 +0200)]
bios/sdram: improve write/read leveling

write_leveling: select last 0 to 1 transition.
read_leveling: do it by module (select best bitslip for each module)

5 years agoplatforms/kc705: add ddram_dual_rank
Florent Kermarrec [Tue, 9 Oct 2018 13:39:03 +0000 (15:39 +0200)]
platforms/kc705: add ddram_dual_rank

5 years agobios/main: handle all types of carriage return (\r, \n, \r\n or \n\r)
Florent Kermarrec [Tue, 9 Oct 2018 08:06:32 +0000 (10:06 +0200)]
bios/main: handle all types of carriage return (\r, \n, \r\n or \n\r)

5 years agoMerge pull request #116 from stffrdhrn/sim-uart
enjoy-digital [Tue, 9 Oct 2018 05:32:31 +0000 (07:32 +0200)]
Merge pull request #116 from stffrdhrn/sim-uart

sim: serial: Send '\r\n' instead of just '\n'

5 years agosim: serial: Send '\r\n' instead of just '\n'
Stafford Horne [Tue, 9 Oct 2018 02:16:05 +0000 (11:16 +0900)]
sim: serial: Send '\r\n' instead of just '\n'

This fixes an issue when running with the HDMI2USB firmware which
expects \r\n to come from the UART.  Since the verilator adapter
is just sending \n commands cannot be executed.

Also, one minor whitespace cleanup. (could remove if needed)

5 years agocpu_interface: fix select_triple when only one specified
Florent Kermarrec [Mon, 8 Oct 2018 15:01:04 +0000 (17:01 +0200)]
cpu_interface: fix select_triple when only one specified

5 years agosoc/integration/cpu_interface: generate error if unable to find any of the cross...
Florent Kermarrec [Sat, 6 Oct 2018 19:32:38 +0000 (21:32 +0200)]
soc/integration/cpu_interface: generate error if unable to find any of the cross compilation toolchains

5 years agosoc_core: add csr range check
Florent Kermarrec [Sat, 6 Oct 2018 18:55:16 +0000 (20:55 +0200)]
soc_core: add csr range check

5 years agobuild.xilinx: Convert attributes to something Yosys understands.
Tim 'mithro' Ansell [Fri, 5 Oct 2018 19:48:30 +0000 (12:48 -0700)]
build.xilinx: Convert attributes to something Yosys understands.

Convert keep, dont_touch and async_reg to something Yosys understands.

Write out an EDIF file with the attributes so that Vivado can use them.
(Requires Yosys with commit
https://github.com/YosysHQ/yosys/commit/115ca576475a2e8d30e63b339ae6a1b5db6906a6)

5 years agoMerge pull request #112 from cr1901/8k-b-evn
enjoy-digital [Thu, 4 Oct 2018 19:12:33 +0000 (21:12 +0200)]
Merge pull request #112 from cr1901/8k-b-evn

build/platforms: Add ice40_hx8k_b_evn from Migen.

5 years agoMerge pull request #113 from stffrdhrn/litex-trivial
enjoy-digital [Thu, 4 Oct 2018 14:25:11 +0000 (16:25 +0200)]
Merge pull request #113 from stffrdhrn/litex-trivial

Litex trivial

5 years agoFix help for or1k builds
Stafford Horne [Thu, 4 Oct 2018 14:09:49 +0000 (23:09 +0900)]
Fix help for or1k builds

The help said cpu-type could be mor1kx, which is correct but you must
pass or1k to get mor1kx.  Fix the message to properly represent what
needs to be passed to the commandline.

5 years agoFix compiler warnings from GCC 8.1
Stafford Horne [Thu, 4 Oct 2018 14:07:48 +0000 (23:07 +0900)]
Fix compiler warnings from GCC 8.1

Fix these 2 warnings:

 litex/build/sim/core/libdylib.c:42:5: warning: 'strncpy' specified bound 2048 equals destination size
 [-Wstringop-truncation]
     strncpy(last_err, s, ERR_MAX_SIZE);
     ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 In function 'set_last_error',

 litex/soc/software/libbase/exception.c:28:13: warning: function declaration isn't a prototype [-Wstrict-prototypes]
  static char emerg_getc()

5 years agobuild/xilinx/common: update XilinxDDRInputImplS7 and XilinxDDRInputImplKU (from migen)
Florent Kermarrec [Thu, 4 Oct 2018 06:17:44 +0000 (08:17 +0200)]
build/xilinx/common: update XilinxDDRInputImplS7 and XilinxDDRInputImplKU (from migen)

5 years agoxilinx/viviado: Allow yosys for synthesis.
Tim 'mithro' Ansell [Thu, 4 Oct 2018 04:58:03 +0000 (21:58 -0700)]
xilinx/viviado: Allow yosys for synthesis.

5 years agocpu/mor1kx: Adding verilog include directory.
Tim 'mithro' Ansell [Thu, 4 Oct 2018 04:57:24 +0000 (21:57 -0700)]
cpu/mor1kx: Adding verilog include directory.

5 years agobuild/platforms: Add ice40_hx8k_b_evn from Migen.
William D. Jones [Wed, 3 Oct 2018 22:21:45 +0000 (18:21 -0400)]
build/platforms: Add ice40_hx8k_b_evn from Migen.

5 years agobuild.xilinx: Run `phys_opt_design` and generate timing report.
Tim 'mithro' Ansell [Wed, 3 Oct 2018 23:02:43 +0000 (16:02 -0700)]
build.xilinx: Run `phys_opt_design` and generate timing report.

Makes the flow more similar to migen.

5 years agocores/cpu: revert vexriscv (it seems there is a regression in last version)
Florent Kermarrec [Tue, 2 Oct 2018 10:20:32 +0000 (12:20 +0200)]
cores/cpu: revert vexriscv (it seems there is a regression in last version)

5 years agotargets/sim: fix integrated_main_ram_size when with_sdram
Florent Kermarrec [Tue, 2 Oct 2018 09:31:08 +0000 (11:31 +0200)]
targets/sim: fix integrated_main_ram_size when with_sdram

5 years agobios/sdram: rewrite write_leveling (simplify and improve robustness)
Florent Kermarrec [Mon, 1 Oct 2018 13:38:19 +0000 (15:38 +0200)]
bios/sdram: rewrite write_leveling (simplify and improve robustness)

5 years agoplatforms/genesys2: add eth clock timing constraint
Florent Kermarrec [Mon, 1 Oct 2018 13:37:34 +0000 (15:37 +0200)]
platforms/genesys2: add eth clock timing constraint

5 years agosoc/cores/clock: add expose_drp on S7PLL/S7MMCM
Florent Kermarrec [Fri, 28 Sep 2018 11:02:10 +0000 (13:02 +0200)]
soc/cores/clock: add expose_drp on S7PLL/S7MMCM

5 years agoMerge pull request #109 from cr1901/xip-improve
enjoy-digital [Tue, 25 Sep 2018 13:32:04 +0000 (15:32 +0200)]
Merge pull request #109 from cr1901/xip-improve

Improve XIP Support

5 years agotargets: use new clock abstraction on all 7-series targets
Florent Kermarrec [Tue, 25 Sep 2018 07:31:30 +0000 (09:31 +0200)]
targets: use new clock abstraction on all 7-series targets

5 years agosoc/cores/clock: different clkin_freq_range for pll and mmcm
Florent Kermarrec [Tue, 25 Sep 2018 07:09:47 +0000 (09:09 +0200)]
soc/cores/clock: different clkin_freq_range for pll and mmcm

5 years agosoc/cores/clock: different vco_freq_range for pll and mmcm
Florent Kermarrec [Tue, 25 Sep 2018 07:04:38 +0000 (09:04 +0200)]
soc/cores/clock: different vco_freq_range for pll and mmcm

5 years agosoc/core/clock: allow selecting buffer type (None, BUFG, BUFR). (default = BUFG)
Florent Kermarrec [Tue, 25 Sep 2018 06:35:50 +0000 (08:35 +0200)]
soc/core/clock: allow selecting buffer type (None, BUFG, BUFR). (default = BUFG)

5 years agosoc/cores/clock: create specific S7IDELAYCTRL module
Florent Kermarrec [Mon, 24 Sep 2018 21:22:59 +0000 (23:22 +0200)]
soc/cores/clock: create specific S7IDELAYCTRL module

5 years agosoc/cores/clock: add S7MMCM support
Florent Kermarrec [Mon, 24 Sep 2018 21:20:12 +0000 (23:20 +0200)]
soc/cores/clock: add S7MMCM support

5 years agosoc/cores/clocks/S7PLL: add speedgrade support, default to -1 (slowest)
Florent Kermarrec [Mon, 24 Sep 2018 20:58:23 +0000 (22:58 +0200)]
soc/cores/clocks/S7PLL: add speedgrade support, default to -1 (slowest)

5 years agotargets/arty: use new clock abstraction module (compile, untested on board)
Florent Kermarrec [Mon, 24 Sep 2018 20:49:30 +0000 (22:49 +0200)]
targets/arty: use new clock abstraction module (compile, untested on board)

5 years agosoc/cores: init clock abstraction module
Florent Kermarrec [Mon, 24 Sep 2018 18:25:57 +0000 (20:25 +0200)]
soc/cores: init clock abstraction module

5 years agoDistinguish crt0 variants more clearly, update BIOS to use CTR variant (as it has...
William D. Jones [Mon, 24 Sep 2018 18:48:54 +0000 (14:48 -0400)]
Distinguish crt0 variants more clearly, update BIOS to use CTR variant (as it has no .data section).

5 years agointegration/builder: Create EXECUTE_IN_PLACE Makefile variable to complement COPY_TO_...
William D. Jones [Mon, 24 Sep 2018 16:28:45 +0000 (12:28 -0400)]
integration/builder: Create EXECUTE_IN_PLACE Makefile variable to complement COPY_TO_MAIN_RAM.

5 years agointegration/builder: Add LiteX define to generated variables (to distinguish MiSoC...
William D. Jones [Mon, 24 Sep 2018 15:04:57 +0000 (11:04 -0400)]
integration/builder: Add LiteX define to generated variables (to distinguish MiSoC and LiteX).

5 years agosim/verilator: add multithread support (default=1)
Florent Kermarrec [Mon, 24 Sep 2018 10:43:29 +0000 (12:43 +0200)]
sim/verilator: add multithread support (default=1)

5 years agosoc_core: use cpu instead of cpu_or_bridge internally (keep retro-compat for now)
Florent Kermarrec [Mon, 24 Sep 2018 08:59:32 +0000 (10:59 +0200)]
soc_core: use cpu instead of cpu_or_bridge internally (keep retro-compat for now)

5 years agoboards/targets: uniformize things between targets
Florent Kermarrec [Mon, 24 Sep 2018 08:58:10 +0000 (10:58 +0200)]
boards/targets: uniformize things between targets

5 years agosoc_core/get_mem_data: add endianness support and use it in builder/initialize_rom...
Florent Kermarrec [Mon, 24 Sep 2018 06:01:32 +0000 (08:01 +0200)]
soc_core/get_mem_data: add endianness support and use it in builder/initialize_rom to avoid duplication

5 years agocores/cpu: add software informations to cpu and simplify cpu_interface
Florent Kermarrec [Mon, 24 Sep 2018 00:45:05 +0000 (02:45 +0200)]
cores/cpu: add software informations to cpu and simplify cpu_interface

5 years agoboards/plarforms: fix issues found while testing simple design on all platforms
Florent Kermarrec [Mon, 24 Sep 2018 00:03:30 +0000 (02:03 +0200)]
boards/plarforms: fix issues found while testing simple design on all platforms

5 years agotest/test_targets: test simple design with all platforms
Florent Kermarrec [Mon, 24 Sep 2018 00:01:47 +0000 (02:01 +0200)]
test/test_targets: test simple design with all platforms

5 years agosoc_core: add uart-stub argument
Florent Kermarrec [Mon, 24 Sep 2018 00:01:15 +0000 (02:01 +0200)]
soc_core: add uart-stub argument

5 years agosetup.py: add litex_simple exec (to ease building simple design)
Florent Kermarrec [Sun, 23 Sep 2018 23:24:51 +0000 (01:24 +0200)]
setup.py: add litex_simple exec (to ease building simple design)

5 years agotest/test_targets: update and reorganize targets
Florent Kermarrec [Sun, 23 Sep 2018 23:15:33 +0000 (01:15 +0200)]
test/test_targets: update and reorganize targets

5 years agoease RemoteClient import
Florent Kermarrec [Sun, 23 Sep 2018 08:23:00 +0000 (10:23 +0200)]
ease RemoteClient import

5 years agoMerge pull request #108 from xobs/use-csr-accessors
enjoy-digital [Sun, 23 Sep 2018 07:59:37 +0000 (09:59 +0200)]
Merge pull request #108 from xobs/use-csr-accessors

Use csr accessors when generating `csr.h`

5 years agocsr: use external csr_readl()/csr_writel() if present
Sean Cross [Sat, 22 Sep 2018 14:33:15 +0000 (16:33 +0200)]
csr: use external csr_readl()/csr_writel() if present

If the variable CSR_ACCESSORS_DEFINED is set, then use external
csr_readl() and csr_writel() instead of locally-generated inline
functions.

With this patch, csr.h can be used with etherbone.h and litex_server to
prototype drivers remotely.

Signed-off-by: Sean Cross <sean@xobs.io>
5 years agocsr: use readl()/writel() accessors for accessing mmio
Sean Cross [Sat, 22 Sep 2018 14:30:05 +0000 (16:30 +0200)]
csr: use readl()/writel() accessors for accessing mmio

Instead of directly dereferencing pointers, use variants on readl()/writel().
This way we can replace these functions with others for remote access
when writing drivers and code outside of the litex environment.

Signed-off-by: Sean Cross <sean@xobs.io>
5 years agoMerge pull request #106 from cr1901/data-crt0
Tim Ansell [Sat, 22 Sep 2018 14:21:35 +0000 (15:21 +0100)]
Merge pull request #106 from cr1901/data-crt0

libbase/crt0-lm32.S: Add provisions for loading .data from flash.

5 years agolibbase/crt0-lm32.S: Add provisions for loading .data from flash.
William D. Jones [Fri, 21 Sep 2018 14:14:50 +0000 (10:14 -0400)]
libbase/crt0-lm32.S: Add provisions for loading .data from flash.

:100644 100644 e0cd7153 34428845 M litex/soc/software/libbase/crt0-lm32.S

5 years agoREADME: add migen/litex clarification
Florent Kermarrec [Fri, 21 Sep 2018 05:37:31 +0000 (07:37 +0200)]
README: add migen/litex clarification

5 years agotargets/sim: generate analyzer.csv
Florent Kermarrec [Thu, 20 Sep 2018 10:20:48 +0000 (12:20 +0200)]
targets/sim: generate analyzer.csv

5 years agotargets/sim: generate csr.csv
Florent Kermarrec [Thu, 20 Sep 2018 09:17:18 +0000 (11:17 +0200)]
targets/sim: generate csr.csv

5 years agotargets/sim: add rom-init
Florent Kermarrec [Wed, 19 Sep 2018 23:14:00 +0000 (01:14 +0200)]
targets/sim: add rom-init

5 years agotargets/sim: add ram-init param to allow initializing ram from file (faster than...
Florent Kermarrec [Wed, 19 Sep 2018 22:49:38 +0000 (00:49 +0200)]
targets/sim: add ram-init param to allow initializing ram from file (faster than tftp)

5 years agointegration/soc_core: add get_mem_data function to read memory content from file
Florent Kermarrec [Wed, 19 Sep 2018 22:46:06 +0000 (00:46 +0200)]
integration/soc_core: add get_mem_data function to read memory content from file

5 years agosoc/intergration/builder: fix when no sdram
Florent Kermarrec [Wed, 19 Sep 2018 21:59:42 +0000 (23:59 +0200)]
soc/intergration/builder: fix when no sdram

5 years agotargets/sim: merge in a single class and ease configuration
Florent Kermarrec [Wed, 19 Sep 2018 20:19:51 +0000 (22:19 +0200)]
targets/sim: merge in a single class and ease configuration

5 years agoMerge branch 'master' of http://github.com/enjoy-digital/litex
Florent Kermarrec [Wed, 19 Sep 2018 17:21:14 +0000 (19:21 +0200)]
Merge branch 'master' of github.com/enjoy-digital/litex

5 years agotargets: replace MiniSoC with EthernetSoC
Florent Kermarrec [Wed, 19 Sep 2018 17:19:50 +0000 (19:19 +0200)]
targets: replace MiniSoC with EthernetSoC

5 years agotargets/sim: add EtherboneSoC target (allow accessing wishbone over in simulation...
Florent Kermarrec [Wed, 19 Sep 2018 17:17:32 +0000 (19:17 +0200)]
targets/sim: add EtherboneSoC target (allow accessing wishbone over in simulation with litex_server)

5 years agoMerge pull request #101 from cr1901/icestorm-migen-pull
enjoy-digital [Tue, 18 Sep 2018 06:19:09 +0000 (08:19 +0200)]
Merge pull request #101 from cr1901/icestorm-migen-pull

Icestorm Improvements

5 years agoPull in b2740d9 from Migen. nextpnr now default, write out build scripts on dry run.
William D. Jones [Tue, 18 Sep 2018 01:17:24 +0000 (21:17 -0400)]
Pull in b2740d9 from Migen. nextpnr now default, write out build scripts on dry run.

5 years agobios/sdram: mode sdhw()
Florent Kermarrec [Thu, 13 Sep 2018 04:33:54 +0000 (06:33 +0200)]
bios/sdram: mode sdhw()

5 years agobios/sdram: add missing #ifdef
Florent Kermarrec [Thu, 13 Sep 2018 04:30:37 +0000 (06:30 +0200)]
bios/sdram: add missing #ifdef

5 years agotargets: self.pll_sys --> pll_sys
Florent Kermarrec [Thu, 13 Sep 2018 03:31:35 +0000 (05:31 +0200)]
targets: self.pll_sys --> pll_sys

5 years agobios/sdram: show all read scans when failing.
Florent Kermarrec [Thu, 13 Sep 2018 03:26:51 +0000 (05:26 +0200)]
bios/sdram: show all read scans when failing.

5 years agocpu/lm32: re-enable multiplier/divider in minimal variant (does not seem to work...
Florent Kermarrec [Wed, 12 Sep 2018 04:02:23 +0000 (06:02 +0200)]
cpu/lm32: re-enable multiplier/divider in minimal variant (does not seem to work correctly on hardware otherwise)

5 years agosoc_sdram: update with litedram
Florent Kermarrec [Sun, 9 Sep 2018 00:10:50 +0000 (02:10 +0200)]
soc_sdram: update with litedram

5 years agoMerge pull request #99 from cr1901/mk-copy-main-ram
enjoy-digital [Sat, 8 Sep 2018 01:55:23 +0000 (03:55 +0200)]
Merge pull request #99 from cr1901/mk-copy-main-ram

Add COPY_TO_MAIN_RAM generated Makefile variable to distinguish systems with/without "main_ram" region.

5 years agoAdd COPY_TO_MAIN_RAM generated Makefile variable to distinguish systems with/without...
William D. Jones [Sat, 8 Sep 2018 01:49:24 +0000 (21:49 -0400)]
Add COPY_TO_MAIN_RAM generated Makefile variable to distinguish systems with/without main_ram region.

5 years agoMerge pull request #100 from cr1901/tinyprog-fix
enjoy-digital [Sat, 8 Sep 2018 01:48:04 +0000 (03:48 +0200)]
Merge pull request #100 from cr1901/tinyprog-fix

lattice/programmer: Use --program-image option with tinyprog if addre…

5 years agosoc_sdram: revert vivado l2 cache workaround (still seems to cause issues on some...
Florent Kermarrec [Fri, 7 Sep 2018 09:51:17 +0000 (11:51 +0200)]
soc_sdram: revert vivado l2 cache workaround (still seems to cause issues on some cases...)

5 years agotargets: pass endianness to LiteEThMAC, tftp working with RISC-V, still need to fix...
Florent Kermarrec [Fri, 7 Sep 2018 08:37:15 +0000 (10:37 +0200)]
targets: pass endianness to LiteEThMAC, tftp working with RISC-V, still need to fix txlen

5 years agolattice/programmer: Use --program-image option with tinyprog if address is given.
William D. Jones [Fri, 7 Sep 2018 08:05:49 +0000 (04:05 -0400)]
lattice/programmer: Use --program-image option with tinyprog if address is given.

5 years agolibnet/microudp: (WIP) fix endianness issues
Jean-François Nguyen [Mon, 3 Sep 2018 18:31:16 +0000 (20:31 +0200)]
libnet/microudp: (WIP) fix endianness issues

5 years agoMerge pull request #98 from jfng/fix_typo
enjoy-digital [Thu, 6 Sep 2018 16:23:29 +0000 (18:23 +0200)]
Merge pull request #98 from jfng/fix_typo

fix typo and unused include

5 years agofix typo and unused include
Jean-François Nguyen [Thu, 6 Sep 2018 15:07:14 +0000 (17:07 +0200)]
fix typo and unused include

5 years agocpu/minerva: add workaround on import until code is released
Florent Kermarrec [Thu, 6 Sep 2018 14:40:30 +0000 (16:40 +0200)]
cpu/minerva: add workaround on import until code is released

5 years agosetup.py: create litex_sim exec to ease simulation
Florent Kermarrec [Thu, 6 Sep 2018 06:48:14 +0000 (08:48 +0200)]
setup.py: create litex_sim exec to ease simulation

5 years agoadd Minerva support
Jean-François Nguyen [Wed, 5 Sep 2018 18:36:17 +0000 (20:36 +0200)]
add Minerva support

5 years agolitex_server: update pcie and remove bar_size parameter
Florent Kermarrec [Wed, 5 Sep 2018 11:01:51 +0000 (13:01 +0200)]
litex_server: update pcie and remove bar_size parameter

5 years agoMerge pull request #96 from cr1901/tinyfpga_bx
Tim Ansell [Tue, 4 Sep 2018 03:49:33 +0000 (20:49 -0700)]
Merge pull request #96 from cr1901/tinyfpga_bx

build/platforms: Add TinyFPGA BX board and programmer.

5 years agobuild/platforms: Add TinyFPGA BX board and programmer.
William D. Jones [Tue, 28 Aug 2018 21:08:45 +0000 (17:08 -0400)]
build/platforms: Add TinyFPGA BX board and programmer.

5 years agoMerge pull request #95 from cr1901/lm32-lite
Tim Ansell [Tue, 4 Sep 2018 03:13:45 +0000 (20:13 -0700)]
Merge pull request #95 from cr1901/lm32-lite

Add lm32 "lite" variant, remove mult/div from "minimal" and update compiler flags accordingly.

5 years agoAdd lm32 "lite" variant, remove mult/div from "minimal" and update compiler flags...
William D. Jones [Mon, 3 Sep 2018 23:48:19 +0000 (19:48 -0400)]
Add lm32 "lite" variant, remove mult/div from "minimal" and update compiler flags accordingly.

5 years agoREADME: update
Florent Kermarrec [Fri, 31 Aug 2018 06:44:22 +0000 (08:44 +0200)]
README: update