yosys.git
4 years agoOutput pattern matcher items as log_debug()
Eddie Hung [Fri, 20 Sep 2019 19:42:28 +0000 (12:42 -0700)]
Output pattern matcher items as log_debug()

4 years agoOPMODE is port not param
Eddie Hung [Fri, 20 Sep 2019 19:37:29 +0000 (12:37 -0700)]
OPMODE is port not param

4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Fri, 20 Sep 2019 19:21:36 +0000 (12:21 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoDo not run xilinx_dsp_cascadeAB for now
Eddie Hung [Fri, 20 Sep 2019 19:18:37 +0000 (12:18 -0700)]
Do not run xilinx_dsp_cascadeAB for now

4 years agoWIP for xiinx_dsp_cascadeAB
Eddie Hung [Fri, 20 Sep 2019 19:07:14 +0000 (12:07 -0700)]
WIP for xiinx_dsp_cascadeAB

4 years agoRun until convergence
Eddie Hung [Fri, 20 Sep 2019 19:04:16 +0000 (12:04 -0700)]
Run until convergence

4 years agoCleanup ice40_dsp.pmg
Eddie Hung [Fri, 20 Sep 2019 19:03:45 +0000 (12:03 -0700)]
Cleanup ice40_dsp.pmg

4 years agoCleanup xilinx_dsp
Eddie Hung [Fri, 20 Sep 2019 19:03:25 +0000 (12:03 -0700)]
Cleanup xilinx_dsp

4 years agoMore exceptions
Eddie Hung [Fri, 20 Sep 2019 19:03:10 +0000 (12:03 -0700)]
More exceptions

4 years agoFix signedness bug
Eddie Hung [Fri, 20 Sep 2019 17:11:36 +0000 (10:11 -0700)]
Fix signedness bug

4 years agoUpdate doc
Eddie Hung [Fri, 20 Sep 2019 17:07:54 +0000 (10:07 -0700)]
Update doc

4 years agoAdd a xilinx_dsp_cascade matcher for PCIN -> PCOUT
Eddie Hung [Fri, 20 Sep 2019 17:00:09 +0000 (10:00 -0700)]
Add a xilinx_dsp_cascade matcher for PCIN -> PCOUT

4 years agoAdd an overload for port/param with default value
Eddie Hung [Fri, 20 Sep 2019 16:59:42 +0000 (09:59 -0700)]
Add an overload for port/param with default value

4 years agoRe-add DSP_A_MINWIDTH, remove unnec. opt_expr -fine from synth_ice40
Eddie Hung [Fri, 20 Sep 2019 16:02:29 +0000 (09:02 -0700)]
Re-add DSP_A_MINWIDTH, remove unnec. opt_expr -fine from synth_ice40

4 years agoRevert "Move mul2dsp before wreduce"
Eddie Hung [Fri, 20 Sep 2019 15:56:16 +0000 (08:56 -0700)]
Revert "Move mul2dsp before wreduce"

This reverts commit e4f4f6a9d5cf8bb23870fc483f16f66c80ceebab.

4 years agoMove mul2dsp before wreduce
Eddie Hung [Fri, 20 Sep 2019 15:41:40 +0000 (08:41 -0700)]
Move mul2dsp before wreduce

4 years agoSmall cleanup
Eddie Hung [Fri, 20 Sep 2019 15:41:28 +0000 (08:41 -0700)]
Small cleanup

4 years agoMerge pull request #1386 from YosysHQ/clifford/fix1360
Clifford Wolf [Fri, 20 Sep 2019 11:30:28 +0000 (13:30 +0200)]
Merge pull request #1386 from YosysHQ/clifford/fix1360

Fix handling of read_verilog config in AstModule::reprocess_module()

4 years agoFix handling of read_verilog config in AstModule::reprocess_module(), fixes #1360
Clifford Wolf [Fri, 20 Sep 2019 10:16:20 +0000 (12:16 +0200)]
Fix handling of read_verilog config in AstModule::reprocess_module(), fixes #1360

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoUpdate CHANGELOG
Clifford Wolf [Fri, 20 Sep 2019 08:28:20 +0000 (10:28 +0200)]
Update CHANGELOG

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoAdd "add -mod"
Clifford Wolf [Fri, 20 Sep 2019 08:27:17 +0000 (10:27 +0200)]
Add "add -mod"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1384 from YosysHQ/clifford/fix1381
Clifford Wolf [Fri, 20 Sep 2019 07:58:42 +0000 (09:58 +0200)]
Merge pull request #1384 from YosysHQ/clifford/fix1381

Add techmap_autopurge attribute

4 years agoDisable support for SB_MAC16 reset since it is async
Eddie Hung [Fri, 20 Sep 2019 05:48:57 +0000 (22:48 -0700)]
Disable support for SB_MAC16 reset since it is async

4 years agoSB_MAC16 ffCD to not pack same as ffO
Eddie Hung [Fri, 20 Sep 2019 05:39:47 +0000 (22:39 -0700)]
SB_MAC16 ffCD to not pack same as ffO

4 years agoAdd more complicated macc testcase
Eddie Hung [Fri, 20 Sep 2019 05:39:15 +0000 (22:39 -0700)]
Add more complicated macc testcase

4 years agoClarify
Eddie Hung [Fri, 20 Sep 2019 04:58:34 +0000 (21:58 -0700)]
Clarify

4 years agoUpdate doc for ice40_dsp
Eddie Hung [Fri, 20 Sep 2019 04:57:11 +0000 (21:57 -0700)]
Update doc for ice40_dsp

4 years agoTidy up, fix undriven
Eddie Hung [Fri, 20 Sep 2019 03:04:52 +0000 (20:04 -0700)]
Tidy up, fix undriven

4 years agoAdd an index
Eddie Hung [Fri, 20 Sep 2019 03:04:44 +0000 (20:04 -0700)]
Add an index

4 years ago$__ABC_REG to have WIDTH parameter
Eddie Hung [Fri, 20 Sep 2019 02:37:45 +0000 (19:37 -0700)]
$__ABC_REG to have WIDTH parameter

4 years agoFix DSP48E1 timing by breaking P path if MREG or PREG
Eddie Hung [Fri, 20 Sep 2019 01:59:28 +0000 (18:59 -0700)]
Fix DSP48E1 timing by breaking P path if MREG or PREG

4 years agoRevert "Different approach to timing"
Eddie Hung [Fri, 20 Sep 2019 01:33:38 +0000 (18:33 -0700)]
Revert "Different approach to timing"

This reverts commit 41256f48a5f3231e231cbdf9380a26128f272044.

4 years agoDifferent approach to timing
Eddie Hung [Fri, 20 Sep 2019 01:33:29 +0000 (18:33 -0700)]
Different approach to timing

4 years agoFix width of D
Eddie Hung [Fri, 20 Sep 2019 01:08:46 +0000 (18:08 -0700)]
Fix width of D

4 years agoAdd mac.sh and macc_tb.v for testing
Eddie Hung [Fri, 20 Sep 2019 01:08:16 +0000 (18:08 -0700)]
Add mac.sh and macc_tb.v for testing

4 years agoSuppress $anyseq warnings
Eddie Hung [Thu, 19 Sep 2019 23:27:14 +0000 (16:27 -0700)]
Suppress $anyseq warnings

4 years agoUse ID() macro
Eddie Hung [Thu, 19 Sep 2019 23:13:22 +0000 (16:13 -0700)]
Use ID() macro

4 years agoUse (* techmap_autopurge *) to suppress techmap warnings
Eddie Hung [Thu, 19 Sep 2019 22:58:01 +0000 (15:58 -0700)]
Use (* techmap_autopurge *) to suppress techmap warnings

4 years agoD is 25 bits not 24 bits wide
Eddie Hung [Thu, 19 Sep 2019 22:55:49 +0000 (15:55 -0700)]
D is 25 bits not 24 bits wide

4 years agoMerge remote-tracking branch 'origin/clifford/fix1381' into xc7dsp
Eddie Hung [Thu, 19 Sep 2019 22:47:41 +0000 (15:47 -0700)]
Merge remote-tracking branch 'origin/clifford/fix1381' into xc7dsp

4 years agoWhen two boxes connect to each other, need not be a (* keep *)
Eddie Hung [Thu, 19 Sep 2019 22:40:28 +0000 (15:40 -0700)]
When two boxes connect to each other, need not be a (* keep *)

4 years agoRe-enable sign extension for C input
Eddie Hung [Thu, 19 Sep 2019 22:40:17 +0000 (15:40 -0700)]
Re-enable sign extension for C input

4 years agosynth_xilinx to infer DSPs for Y_WIDTH >= 9 and [AB]_WIDTH >= 2
Eddie Hung [Thu, 19 Sep 2019 21:58:06 +0000 (14:58 -0700)]
synth_xilinx to infer DSPs for Y_WIDTH >= 9 and [AB]_WIDTH >= 2

4 years agoTidy up synth_ice40, only restrict DSP_B_MINWIDTH=2
Eddie Hung [Thu, 19 Sep 2019 21:57:38 +0000 (14:57 -0700)]
Tidy up synth_ice40, only restrict DSP_B_MINWIDTH=2

4 years agoDo not perform width-checks for DSP48E1 which is much more complicated
Eddie Hung [Thu, 19 Sep 2019 21:50:11 +0000 (14:50 -0700)]
Do not perform width-checks for DSP48E1 which is much more complicated

4 years agoRemove TODO as check should not be necessary
Eddie Hung [Thu, 19 Sep 2019 21:49:47 +0000 (14:49 -0700)]
Remove TODO as check should not be necessary

4 years agoRevert index to select
Eddie Hung [Thu, 19 Sep 2019 21:46:53 +0000 (14:46 -0700)]
Revert index to select

4 years agoCleanup xilinx_dsp too
Eddie Hung [Thu, 19 Sep 2019 21:34:06 +0000 (14:34 -0700)]
Cleanup xilinx_dsp too

4 years agoRefactor ce{mux,pol} -> hold{mux,pol}
Eddie Hung [Thu, 19 Sep 2019 21:27:25 +0000 (14:27 -0700)]
Refactor ce{mux,pol} -> hold{mux,pol}

4 years agoAdd HOLD/RST support for SB_MAC16
Eddie Hung [Thu, 19 Sep 2019 21:02:55 +0000 (14:02 -0700)]
Add HOLD/RST support for SB_MAC16

4 years agoAdd support for SB_MAC16 CD and H registers
Eddie Hung [Thu, 19 Sep 2019 19:14:33 +0000 (12:14 -0700)]
Add support for SB_MAC16 CD and H registers

4 years agoRefactor ice40_dsp.pmg
Eddie Hung [Thu, 19 Sep 2019 19:00:48 +0000 (12:00 -0700)]
Refactor ice40_dsp.pmg

4 years agoAdd more entries
Eddie Hung [Thu, 19 Sep 2019 19:00:39 +0000 (12:00 -0700)]
Add more entries

4 years agoFormat macc.v
Eddie Hung [Thu, 19 Sep 2019 18:02:14 +0000 (11:02 -0700)]
Format macc.v

4 years agoAdd techmap_autopurge attribute, fixes #1381
Clifford Wolf [Thu, 19 Sep 2019 17:26:09 +0000 (19:26 +0200)]
Add techmap_autopurge attribute, fixes #1381

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoCleanup
Eddie Hung [Thu, 19 Sep 2019 17:39:00 +0000 (10:39 -0700)]
Cleanup

4 years agoUse extractinv for synth_xilinx -ise
Marcin Kościelnicki [Wed, 28 Aug 2019 15:28:01 +0000 (15:28 +0000)]
Use extractinv for synth_xilinx -ise

4 years agoAdded extractinv pass
Marcin Kościelnicki [Wed, 28 Aug 2019 14:58:14 +0000 (14:58 +0000)]
Added extractinv pass

4 years agoRemove stat
Eddie Hung [Wed, 18 Sep 2019 19:44:34 +0000 (12:44 -0700)]
Remove stat

4 years agoDocument (* gentb_skip *) attr for test_autotb
Eddie Hung [Fri, 6 Sep 2019 20:28:15 +0000 (13:28 -0700)]
Document (* gentb_skip *) attr for test_autotb

4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 18 Sep 2019 19:40:21 +0000 (12:40 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoMerge pull request #1355 from YosysHQ/eddie/peepopt_dffmuxext
Eddie Hung [Wed, 18 Sep 2019 19:40:08 +0000 (12:40 -0700)]
Merge pull request #1355 from YosysHQ/eddie/peepopt_dffmuxext

peepopt_dffmux -- bit optimisations for word level $dff + (enable/reset) $mux cells

4 years agoAdd doc on pattern detector for overflow
Eddie Hung [Wed, 18 Sep 2019 19:35:24 +0000 (12:35 -0700)]
Add doc on pattern detector for overflow

4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 18 Sep 2019 19:23:22 +0000 (12:23 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoFix copy-paste
Eddie Hung [Wed, 18 Sep 2019 19:19:16 +0000 (12:19 -0700)]
Fix copy-paste

4 years agoCheck overflow condition is power of 2 without using int32
Eddie Hung [Wed, 18 Sep 2019 19:16:03 +0000 (12:16 -0700)]
Check overflow condition is power of 2 without using int32

4 years agoAdd .gitignore
Eddie Hung [Wed, 18 Sep 2019 19:11:33 +0000 (12:11 -0700)]
Add .gitignore

4 years agoRefine macc testcase
Eddie Hung [Wed, 18 Sep 2019 19:07:25 +0000 (12:07 -0700)]
Refine macc testcase

4 years agoMis-spell
Eddie Hung [Wed, 18 Sep 2019 18:12:46 +0000 (11:12 -0700)]
Mis-spell

4 years agoAdd pattern detection support for DSP48E1 model, check against vendor
Eddie Hung [Wed, 18 Sep 2019 17:45:04 +0000 (10:45 -0700)]
Add pattern detection support for DSP48E1 model, check against vendor

4 years agoMerge pull request #1379 from mmicko/sim_models
Eddie Hung [Wed, 18 Sep 2019 17:04:27 +0000 (10:04 -0700)]
Merge pull request #1379 from mmicko/sim_models

Added simulation models for Efinix and Anlogic

4 years agoAdd support for overflow using pattern detector
Eddie Hung [Wed, 18 Sep 2019 16:39:59 +0000 (09:39 -0700)]
Add support for overflow using pattern detector

4 years agoSeparate dffrstmux from dffcemux, fix typos
Eddie Hung [Wed, 18 Sep 2019 16:34:42 +0000 (09:34 -0700)]
Separate dffrstmux from dffcemux, fix typos

4 years agomake note that it is for latch mode
Miodrag Milanovic [Wed, 18 Sep 2019 15:48:16 +0000 (17:48 +0200)]
make note that it is for latch mode

4 years agobetter lut handling
Miodrag Milanovic [Wed, 18 Sep 2019 15:45:19 +0000 (17:45 +0200)]
better lut handling

4 years agobetter handling of lut and begin/end add
Miodrag Milanovic [Wed, 18 Sep 2019 15:45:07 +0000 (17:45 +0200)]
better handling of lut and begin/end add

4 years agoAdd "write_aiger -L"
Clifford Wolf [Wed, 18 Sep 2019 11:33:02 +0000 (13:33 +0200)]
Add "write_aiger -L"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoFix stupid bug in btor back-end
Clifford Wolf [Wed, 18 Sep 2019 09:56:14 +0000 (11:56 +0200)]
Fix stupid bug in btor back-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoBump version
Clifford Wolf [Mon, 16 Sep 2019 11:05:41 +0000 (13:05 +0200)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1380 from YosysHQ/clifford/fix1372
Clifford Wolf [Mon, 16 Sep 2019 11:05:02 +0000 (13:05 +0200)]
Merge pull request #1380 from YosysHQ/clifford/fix1372

Fix handling of range selects on loop variables

4 years agoFix handling of range selects on loop variables, fixes #1372
Clifford Wolf [Mon, 16 Sep 2019 09:25:16 +0000 (11:25 +0200)]
Fix handling of range selects on loop variables, fixes #1372

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1374 from YosysHQ/eddie/fix1371
Eddie Hung [Sun, 15 Sep 2019 20:56:07 +0000 (13:56 -0700)]
Merge pull request #1374 from YosysHQ/eddie/fix1371

Fix two non-deterministic behaviours that cause divergence between compilers

4 years agoxilinx: Make blackbox library family-dependent.
Marcin Kościelnicki [Sun, 15 Sep 2019 00:49:53 +0000 (00:49 +0000)]
xilinx: Make blackbox library family-dependent.

Fixes #1246.

4 years agoMerge pull request #1377 from YosysHQ/clifford/fixzdigit
Clifford Wolf [Sun, 15 Sep 2019 09:04:31 +0000 (11:04 +0200)]
Merge pull request #1377 from YosysHQ/clifford/fixzdigit

Fix handling of z_digit "?" and fix optimization of cmp with "z"

4 years agoAdded simulation models for Efinix and Anlogic
Miodrag Milanovic [Sun, 15 Sep 2019 07:37:16 +0000 (09:37 +0200)]
Added simulation models for Efinix and Anlogic

4 years agoOops
Eddie Hung [Sat, 14 Sep 2019 01:19:07 +0000 (18:19 -0700)]
Oops

4 years agoAdd `undef DSP48E1_INST
Eddie Hung [Sat, 14 Sep 2019 00:07:18 +0000 (17:07 -0700)]
Add `undef DSP48E1_INST

4 years agoAdd counter-example from @cliffordwolf
Eddie Hung [Fri, 13 Sep 2019 23:41:10 +0000 (16:41 -0700)]
Add counter-example from @cliffordwolf

4 years agoRevert "Make one check $shift(x)? only; change testcase to be 8b"
Eddie Hung [Fri, 13 Sep 2019 23:33:18 +0000 (16:33 -0700)]
Revert "Make one check $shift(x)? only; change testcase to be 8b"

This reverts commit e2c2d784c8217e4bcf29fb6b156b6a8285036b80.

4 years agoSpacing
Eddie Hung [Fri, 13 Sep 2019 23:30:44 +0000 (16:30 -0700)]
Spacing

4 years agoExplicitly order function arguments
Eddie Hung [Fri, 13 Sep 2019 23:18:05 +0000 (16:18 -0700)]
Explicitly order function arguments

4 years agoFix D -> P{,COUT} delay
Eddie Hung [Fri, 13 Sep 2019 20:32:55 +0000 (13:32 -0700)]
Fix D -> P{,COUT} delay

4 years agoAdd no MULT no DPORT config
Eddie Hung [Fri, 13 Sep 2019 19:05:14 +0000 (12:05 -0700)]
Add no MULT no DPORT config

4 years agoAdd support for MULT and DPORT
Eddie Hung [Fri, 13 Sep 2019 18:45:55 +0000 (11:45 -0700)]
Add support for MULT and DPORT

4 years agoUse template specialisation
Eddie Hung [Fri, 13 Sep 2019 18:13:57 +0000 (11:13 -0700)]
Use template specialisation

4 years agoRevert "SigSet<Cell*> to use stable compare class"
Eddie Hung [Fri, 13 Sep 2019 16:49:15 +0000 (09:49 -0700)]
Revert "SigSet<Cell*> to use stable compare class"

This reverts commit 4ea34aaacdf6f76e11a83d5eb2a53ba7e75f7c11.

4 years agoRefine diagram
Eddie Hung [Fri, 13 Sep 2019 16:34:40 +0000 (09:34 -0700)]
Refine diagram

4 years agoFix handling of z_digit "?" and fix optimization of cmp with "z"
Clifford Wolf [Fri, 13 Sep 2019 11:39:39 +0000 (13:39 +0200)]
Fix handling of z_digit "?" and fix optimization of cmp with "z"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1373 from YosysHQ/clifford/fix1364
Clifford Wolf [Fri, 13 Sep 2019 08:22:34 +0000 (10:22 +0200)]
Merge pull request #1373 from YosysHQ/clifford/fix1364

Fix lexing of integer literals

4 years agoFix lexing of integer literals without radix
Clifford Wolf [Fri, 13 Sep 2019 08:19:58 +0000 (10:19 +0200)]
Fix lexing of integer literals without radix

Signed-off-by: Clifford Wolf <clifford@clifford.at>