yosys.git
5 years agoUse new peepopt from #969
Eddie Hung [Thu, 2 May 2019 18:35:57 +0000 (11:35 -0700)]
Use new peepopt from #969

5 years agoMerge remote-tracking branch 'origin/clifford/pmgenstuff' into xc7mux
Eddie Hung [Thu, 2 May 2019 18:25:34 +0000 (11:25 -0700)]
Merge remote-tracking branch 'origin/clifford/pmgenstuff' into xc7mux

5 years agoRevert to pre-muxcover approach
Eddie Hung [Thu, 2 May 2019 18:25:10 +0000 (11:25 -0700)]
Revert to pre-muxcover approach

5 years agoMissing help_mode
Eddie Hung [Thu, 2 May 2019 18:14:28 +0000 (11:14 -0700)]
Missing help_mode

5 years agoFix -nocarry
Eddie Hung [Thu, 2 May 2019 18:00:49 +0000 (11:00 -0700)]
Fix -nocarry

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Thu, 2 May 2019 17:44:59 +0000 (10:44 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoMerge pull request #963 from YosysHQ/eddie/synth_xilinx_fine
Clifford Wolf [Thu, 2 May 2019 07:11:07 +0000 (09:11 +0200)]
Merge pull request #963 from YosysHQ/eddie/synth_xilinx_fine

Revert synth_xilinx 'fine' label more to how it used to be...

5 years agoMerge pull request #978 from ucb-bar/fmtfirrtl
Eddie Hung [Thu, 2 May 2019 01:24:21 +0000 (18:24 -0700)]
Merge pull request #978 from ucb-bar/fmtfirrtl

Re-indent firrtl.cc:struct memory - no functional change.

5 years agoBack to passing all xc7srl tests!
Eddie Hung [Thu, 2 May 2019 01:23:21 +0000 (18:23 -0700)]
Back to passing all xc7srl tests!

5 years agoMerge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine
Eddie Hung [Thu, 2 May 2019 01:09:38 +0000 (18:09 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine

5 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Wed, 1 May 2019 23:26:43 +0000 (16:26 -0700)]
Merge branch 'master' of github.com:YosysHQ/yosys

5 years agoRe-indent firrtl.cc:struct memory - no functional change.
Jim Lawson [Wed, 1 May 2019 23:21:13 +0000 (16:21 -0700)]
Re-indent firrtl.cc:struct memory - no functional change.

5 years agoMerge branch 'clifford/fix883'
Clifford Wolf [Wed, 1 May 2019 22:04:12 +0000 (00:04 +0200)]
Merge branch 'clifford/fix883'

5 years agoAdd missing enable_undef to "sat -tempinduct-def", fixes #883
Clifford Wolf [Wed, 1 May 2019 22:03:31 +0000 (00:03 +0200)]
Add missing enable_undef to "sat -tempinduct-def", fixes #883

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #977 from ucb-bar/fixfirrtlmem
Clifford Wolf [Wed, 1 May 2019 21:47:16 +0000 (23:47 +0200)]
Merge pull request #977 from ucb-bar/fixfirrtlmem

Fix #938 - Crash occurs in case when use write_firrtl command

5 years agoFix #938 - Crash occurs in case when use write_firrtl command
Jim Lawson [Wed, 1 May 2019 20:16:01 +0000 (13:16 -0700)]
Fix #938 - Crash occurs in case when use write_firrtl command
Add missing memory initialization.
Sanity-check memory parameters.
Add Cell pointer to memory object (for error reporting).

5 years agoFix floating point exception in qwp, fixes #923
Clifford Wolf [Wed, 1 May 2019 13:06:46 +0000 (15:06 +0200)]
Fix floating point exception in qwp, fixes #923

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix segfault in wreduce
Clifford Wolf [Tue, 30 Apr 2019 20:20:45 +0000 (22:20 +0200)]
Fix segfault in wreduce

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDisabled "final loop assignment" feature
Clifford Wolf [Tue, 30 Apr 2019 18:22:50 +0000 (20:22 +0200)]
Disabled "final loop assignment" feature

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #972 from YosysHQ/clifford/fix968
Clifford Wolf [Tue, 30 Apr 2019 16:09:44 +0000 (18:09 +0200)]
Merge pull request #972 from YosysHQ/clifford/fix968

Add final loop variable assignment when unrolling for-loops

5 years agoMerge pull request #966 from YosysHQ/clifford/fix956
Clifford Wolf [Tue, 30 Apr 2019 16:08:41 +0000 (18:08 +0200)]
Merge pull request #966 from YosysHQ/clifford/fix956

Drive dangling wires with init attr with their init value

5 years agoMerge pull request #962 from YosysHQ/eddie/refactor_synth_xilinx
Clifford Wolf [Tue, 30 Apr 2019 16:07:19 +0000 (18:07 +0200)]
Merge pull request #962 from YosysHQ/eddie/refactor_synth_xilinx

Refactor synth_xilinx to auto-generate doc

5 years agoMerge branch 'master' into eddie/refactor_synth_xilinx
Clifford Wolf [Tue, 30 Apr 2019 15:00:34 +0000 (17:00 +0200)]
Merge branch 'master' into eddie/refactor_synth_xilinx

5 years agoMerge pull request #973 from christian-krieg/feature/python_bindings
Clifford Wolf [Tue, 30 Apr 2019 13:48:42 +0000 (15:48 +0200)]
Merge pull request #973 from christian-krieg/feature/python_bindings

Feature/python bindings cleanup

5 years agoInclude filename in "Executing Verilog-2005 frontend" message, fixes #959
Clifford Wolf [Tue, 30 Apr 2019 13:35:36 +0000 (15:35 +0200)]
Include filename in "Executing Verilog-2005 frontend" message, fixes #959

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix performance bug in RTLIL::SigSpec::operator==(), fixes #970
Clifford Wolf [Tue, 30 Apr 2019 13:19:04 +0000 (15:19 +0200)]
Fix performance bug in RTLIL::SigSpec::operator==(), fixes #970

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd final loop variable assignment when unrolling for-loops, fixes #968
Clifford Wolf [Tue, 30 Apr 2019 13:03:32 +0000 (15:03 +0200)]
Add final loop variable assignment when unrolling for-loops, fixes #968

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd handling of init attributes in "opt_expr -undriven"
Clifford Wolf [Tue, 30 Apr 2019 12:46:12 +0000 (14:46 +0200)]
Add handling of init attributes in "opt_expr -undriven"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'master' of https://github.com/YosysHQ/yosys into feature/python_bindings
Benedikt Tutzer [Tue, 30 Apr 2019 11:22:33 +0000 (13:22 +0200)]
Merge branch 'master' of https://github.com/YosysHQ/yosys into feature/python_bindings

5 years agoCleaned up root directory
Benedikt Tutzer [Tue, 30 Apr 2019 11:19:04 +0000 (13:19 +0200)]
Cleaned up root directory

5 years agoAdd peepopt_muldiv, fixes #930
Clifford Wolf [Tue, 30 Apr 2019 09:25:15 +0000 (11:25 +0200)]
Add peepopt_muldiv, fixes #930

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agopmgen progress
Clifford Wolf [Tue, 30 Apr 2019 08:51:51 +0000 (10:51 +0200)]
pmgen progress

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRun "peepopt" in generic "synth" pass and "synth_ice40"
Clifford Wolf [Tue, 30 Apr 2019 06:10:37 +0000 (08:10 +0200)]
Run "peepopt" in generic "synth" pass and "synth_ice40"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSome pmgen reorg, rename peepopt.pmg to peepopt_shiftmul.pmg
Clifford Wolf [Tue, 30 Apr 2019 06:04:22 +0000 (08:04 +0200)]
Some pmgen reorg, rename peepopt.pmg to peepopt_shiftmul.pmg

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoProgress in shiftmul peepopt pattern
Clifford Wolf [Tue, 30 Apr 2019 05:59:39 +0000 (07:59 +0200)]
Progress in shiftmul peepopt pattern

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #960 from YosysHQ/eddie/equiv_opt_undef
Clifford Wolf [Mon, 29 Apr 2019 11:54:26 +0000 (13:54 +0200)]
Merge pull request #960 from YosysHQ/eddie/equiv_opt_undef

Add -undef option to equiv_opt, passed to equiv_induct

5 years agoMerge pull request #967 from olegendo/depfile_esc_spaces
Clifford Wolf [Mon, 29 Apr 2019 11:48:52 +0000 (13:48 +0200)]
Merge pull request #967 from olegendo/depfile_esc_spaces

escape spaces with backslash when writing dep file

5 years agoAdd "peepopt" skeleton
Clifford Wolf [Mon, 29 Apr 2019 11:38:56 +0000 (13:38 +0200)]
Add "peepopt" skeleton

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd pmgen support for multiple patterns in one matcher
Clifford Wolf [Mon, 29 Apr 2019 11:02:05 +0000 (13:02 +0200)]
Add pmgen support for multiple patterns in one matcher

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agofix codestyle formatting
Oleg Endo [Mon, 29 Apr 2019 10:20:33 +0000 (19:20 +0900)]
fix codestyle formatting

5 years agoSupport multiple pmg files (right now just concatenated together)
Clifford Wolf [Fri, 26 Apr 2019 14:38:36 +0000 (16:38 +0200)]
Support multiple pmg files (right now just concatenated together)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoescape spaces with backslash when writing dep file
Oleg Endo [Mon, 29 Apr 2019 07:13:34 +0000 (16:13 +0900)]
escape spaces with backslash when writing dep file

filenames are sparated by spaces in the dep file.  if a filename in the
dep file contains spaces they must be escaped, otherwise the tool that
reads the dep file will see multiple wrong filenames.

5 years agoDrive dangling wires with init attr with their init value, fixes #956
Clifford Wolf [Mon, 29 Apr 2019 06:38:38 +0000 (08:38 +0200)]
Drive dangling wires with init attr with their init value, fixes #956

5 years agoCopy with 1'bx padding in $shiftx
Eddie Hung [Sun, 28 Apr 2019 20:04:34 +0000 (13:04 -0700)]
Copy with 1'bx padding in $shiftx

5 years agoWIP
Eddie Hung [Sun, 28 Apr 2019 19:51:00 +0000 (12:51 -0700)]
WIP

5 years agoMove neg-pol to pos-pol mapping from ff_map to cells_map.v
Eddie Hung [Sun, 28 Apr 2019 19:36:04 +0000 (12:36 -0700)]
Move neg-pol to pos-pol mapping from ff_map to cells_map.v

5 years agoFix spacing
Eddie Hung [Sat, 27 Apr 2019 02:46:34 +0000 (19:46 -0700)]
Fix spacing

5 years agoRemove split_shiftx tests
Eddie Hung [Sat, 27 Apr 2019 02:45:47 +0000 (19:45 -0700)]
Remove split_shiftx tests

5 years agoRevert synth_xilinx 'fine' label more to how it used to be...
Eddie Hung [Fri, 26 Apr 2019 23:53:16 +0000 (16:53 -0700)]
Revert synth_xilinx 'fine' label more to how it used to be...

5 years agoApparently, this reduces number of MUXCY/XORCY
Eddie Hung [Fri, 26 Apr 2019 23:28:48 +0000 (16:28 -0700)]
Apparently, this reduces number of MUXCY/XORCY

5 years agoTry a different approach with 'muxcover'
Eddie Hung [Fri, 26 Apr 2019 23:09:54 +0000 (16:09 -0700)]
Try a different approach with 'muxcover'

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 26 Apr 2019 22:35:55 +0000 (15:35 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoWhere did this check come from!?!
Eddie Hung [Fri, 26 Apr 2019 22:35:34 +0000 (15:35 -0700)]
Where did this check come from!?!

5 years agoRemove split_shiftx call
Eddie Hung [Fri, 26 Apr 2019 22:32:58 +0000 (15:32 -0700)]
Remove split_shiftx call

5 years agoRevert "Merge branch 'eddie/split_shiftx' into xc7mux"
Eddie Hung [Fri, 26 Apr 2019 22:32:02 +0000 (15:32 -0700)]
Revert "Merge branch 'eddie/split_shiftx' into xc7mux"

This reverts commit 3042d5833041021bb45252b0cc862e9eff3d27d3, reversing
changes made to feff9764540cbf1152459cb377fc68d8e10c7153.

5 years agoMissing newline
Eddie Hung [Fri, 26 Apr 2019 21:51:37 +0000 (14:51 -0700)]
Missing newline

5 years agoRefactor synth_xilinx to auto-generate doc
Eddie Hung [Fri, 26 Apr 2019 21:32:18 +0000 (14:32 -0700)]
Refactor synth_xilinx to auto-generate doc

5 years agoCleanup ice40
Eddie Hung [Fri, 26 Apr 2019 21:31:59 +0000 (14:31 -0700)]
Cleanup ice40

5 years agoAdd -undef option to equiv_opt, passed to equiv_induct
Eddie Hung [Fri, 26 Apr 2019 18:14:33 +0000 (11:14 -0700)]
Add -undef option to equiv_opt, passed to equiv_induct

5 years agoAdd -undef option to equiv_opt, passed to equiv_induct
Eddie Hung [Fri, 26 Apr 2019 18:14:33 +0000 (11:14 -0700)]
Add -undef option to equiv_opt, passed to equiv_induct

5 years agoActually use pm.st.shiftxB
Eddie Hung [Fri, 26 Apr 2019 02:59:33 +0000 (19:59 -0700)]
Actually use pm.st.shiftxB

5 years agoCleanup superseded
Eddie Hung [Fri, 26 Apr 2019 02:43:41 +0000 (19:43 -0700)]
Cleanup superseded

5 years agobitblast_shiftx -> split_shiftx
Eddie Hung [Fri, 26 Apr 2019 02:38:35 +0000 (19:38 -0700)]
bitblast_shiftx -> split_shiftx

5 years agoFix for when B_WIDTH has trailing zeroes
Eddie Hung [Fri, 26 Apr 2019 02:38:19 +0000 (19:38 -0700)]
Fix for when B_WIDTH has trailing zeroes

5 years agoMerge remote-tracking branch 'origin/eddie/split_shiftx' into xc7mux
Eddie Hung [Fri, 26 Apr 2019 01:52:20 +0000 (18:52 -0700)]
Merge remote-tracking branch 'origin/eddie/split_shiftx' into xc7mux

5 years agoIn order to indicate a failed pattern, blacklist?
Eddie Hung [Fri, 26 Apr 2019 01:39:13 +0000 (18:39 -0700)]
In order to indicate a failed pattern, blacklist?

5 years agoAdd test
Eddie Hung [Fri, 26 Apr 2019 01:08:05 +0000 (18:08 -0700)]
Add test

5 years agoElaborate on help message
Eddie Hung [Fri, 26 Apr 2019 00:35:39 +0000 (17:35 -0700)]
Elaborate on help message

5 years agoMerge branch 'eddie/split_shiftx' into xc7mux
Eddie Hung [Fri, 26 Apr 2019 00:31:27 +0000 (17:31 -0700)]
Merge branch 'eddie/split_shiftx' into xc7mux

5 years agoAdd split_shiftx command
Eddie Hung [Fri, 26 Apr 2019 00:23:59 +0000 (17:23 -0700)]
Add split_shiftx command

5 years agoMake pmgen support files more generic
Eddie Hung [Fri, 26 Apr 2019 00:23:46 +0000 (17:23 -0700)]
Make pmgen support files more generic

5 years agosynth_xilinx to call bitblast_shiftx
Eddie Hung [Fri, 26 Apr 2019 00:11:18 +0000 (17:11 -0700)]
synth_xilinx to call bitblast_shiftx

5 years agoMisspelling
Eddie Hung [Thu, 25 Apr 2019 23:46:13 +0000 (16:46 -0700)]
Misspelling

5 years agoRemove topo sort no-loop assertion, with test
Eddie Hung [Thu, 25 Apr 2019 04:06:53 +0000 (21:06 -0700)]
Remove topo sort no-loop assertion, with test

5 years agoAdd -nocarry option to synth_xilinx
Eddie Hung [Wed, 24 Apr 2019 23:46:41 +0000 (16:46 -0700)]
Add -nocarry option to synth_xilinx

5 years agoFix abc9 with (* keep *) wires
Eddie Hung [Tue, 23 Apr 2019 23:11:14 +0000 (16:11 -0700)]
Fix abc9 with (* keep *) wires

5 years agoRefactor into AigerReader::post_process()
Eddie Hung [Tue, 23 Apr 2019 22:06:19 +0000 (15:06 -0700)]
Refactor into AigerReader::post_process()

5 years agoMerge pull request #957 from YosysHQ/oai4fix
Clifford Wolf [Tue, 23 Apr 2019 17:59:39 +0000 (19:59 +0200)]
Merge pull request #957 from YosysHQ/oai4fix

Fixes for OAI4 cell implementation

5 years agoFixes for OAI4 cell implementation
David Shah [Tue, 23 Apr 2019 16:54:00 +0000 (17:54 +0100)]
Fixes for OAI4 cell implementation

Fixes #955 and the underlying issue in #954

Signed-off-by: David Shah <dave@ds0.me>
5 years agoFormat some names using inline code
Eddie Hung [Tue, 23 Apr 2019 16:01:10 +0000 (09:01 -0700)]
Format some names using inline code

5 years agoFix spelling
Eddie Hung [Tue, 23 Apr 2019 15:58:34 +0000 (08:58 -0700)]
Fix spelling

5 years agoRemove some left-over log_dump()
Clifford Wolf [Tue, 23 Apr 2019 15:55:41 +0000 (17:55 +0200)]
Remove some left-over log_dump()

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoTweak
Eddie Hung [Tue, 23 Apr 2019 00:59:56 +0000 (17:59 -0700)]
Tweak

5 years agoFix for A_WIDTH == 2 but B_WIDTH==3
Eddie Hung [Tue, 23 Apr 2019 00:58:28 +0000 (17:58 -0700)]
Fix for A_WIDTH == 2 but B_WIDTH==3

5 years agoTrim A_WIDTH by Y_WIDTH-1
Eddie Hung [Tue, 23 Apr 2019 00:14:11 +0000 (17:14 -0700)]
Trim A_WIDTH by Y_WIDTH-1

5 years agoAdd comment
Eddie Hung [Mon, 22 Apr 2019 23:58:44 +0000 (16:58 -0700)]
Add comment

5 years agoFix for mux_case_* mappings
Eddie Hung [Mon, 22 Apr 2019 23:56:18 +0000 (16:56 -0700)]
Fix for mux_case_* mappings

5 years agoFix for non-pow2 width muxes
Eddie Hung [Mon, 22 Apr 2019 21:26:13 +0000 (14:26 -0700)]
Fix for non-pow2 width muxes

5 years agoMerge pull request #914 from YosysHQ/xc7srl
Eddie Hung [Mon, 22 Apr 2019 20:31:30 +0000 (13:31 -0700)]
Merge pull request #914 from YosysHQ/xc7srl

synth_xilinx to now infer SRL16E/SRLC32E

5 years agoAdd synth_xilinx -nomux option
Eddie Hung [Mon, 22 Apr 2019 19:36:15 +0000 (12:36 -0700)]
Add synth_xilinx -nomux option

5 years agoCleanup, call pmux2shiftx even without -nosrl
Eddie Hung [Mon, 22 Apr 2019 19:14:37 +0000 (12:14 -0700)]
Cleanup, call pmux2shiftx even without -nosrl

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Mon, 22 Apr 2019 18:58:59 +0000 (11:58 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoTemporarily remove 'r' extension
Eddie Hung [Mon, 22 Apr 2019 18:54:19 +0000 (11:54 -0700)]
Temporarily remove 'r' extension

5 years agoMerge remote-tracking branch 'origin/xc7srl' into xc7mux
Eddie Hung [Mon, 22 Apr 2019 18:45:49 +0000 (11:45 -0700)]
Merge remote-tracking branch 'origin/xc7srl' into xc7mux

5 years agoUpdate help message
Eddie Hung [Mon, 22 Apr 2019 18:38:23 +0000 (11:38 -0700)]
Update help message

5 years agoAllow POs to be PIs in XAIG
Eddie Hung [Mon, 22 Apr 2019 18:22:29 +0000 (11:22 -0700)]
Allow POs to be PIs in XAIG

5 years agoRemove kernel/cost.cc since master has refactored it
Eddie Hung [Mon, 22 Apr 2019 18:21:17 +0000 (11:21 -0700)]
Remove kernel/cost.cc since master has refactored it

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Mon, 22 Apr 2019 18:19:52 +0000 (11:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #952 from YosysHQ/clifford/fix370
Clifford Wolf [Mon, 22 Apr 2019 18:10:46 +0000 (20:10 +0200)]
Merge pull request #952 from YosysHQ/clifford/fix370

Determine correct signedness and expression width in for-loop unrolling

5 years agoMerge pull request #951 from YosysHQ/clifford/logdebug
Clifford Wolf [Mon, 22 Apr 2019 18:09:51 +0000 (20:09 +0200)]
Merge pull request #951 from YosysHQ/clifford/logdebug

Add log_debug() framework