yosys.git
5 years agoAdd tests/various/chparam.sh
Clifford Wolf [Mon, 6 May 2019 14:03:15 +0000 (16:03 +0200)]
Add tests/various/chparam.sh

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "hierarchy -chparam" support for non-verific top modules
Clifford Wolf [Fri, 3 May 2019 20:03:43 +0000 (22:03 +0200)]
Add "hierarchy -chparam" support for non-verific top modules

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agolog_warning_noprefix -> log_warning as per review
Eddie Hung [Thu, 14 Mar 2019 15:29:43 +0000 (15:29 +0000)]
log_warning_noprefix -> log_warning as per review

5 years agoFor hier_tree::Elaborate() also include SV root modules (bind)
Eddie Hung [Wed, 13 Mar 2019 22:40:00 +0000 (22:40 +0000)]
For hier_tree::Elaborate() also include SV root modules (bind)

5 years agoFix verific_parameters construction, use attribute to mark top netlists
Eddie Hung [Wed, 13 Mar 2019 22:05:55 +0000 (22:05 +0000)]
Fix verific_parameters construction, use attribute to mark top netlists

5 years agoWIP -chparam support for hierarchy when verific
Eddie Hung [Wed, 13 Mar 2019 19:42:18 +0000 (19:42 +0000)]
WIP -chparam support for hierarchy when verific

5 years agoverific_import() changes to avoid ElaborateAll()
Eddie Hung [Wed, 13 Mar 2019 00:02:04 +0000 (00:02 +0000)]
verific_import() changes to avoid ElaborateAll()

5 years agoMerge pull request #984 from YosysHQ/eddie/fix_982
Clifford Wolf [Fri, 3 May 2019 18:34:32 +0000 (20:34 +0200)]
Merge pull request #984 from YosysHQ/eddie/fix_982

dffinit to do nothing when (* init *) value is 1'bx

5 years agoRevert "synth_xilinx to call dffinit with -noreinit"
Eddie Hung [Fri, 3 May 2019 16:55:02 +0000 (09:55 -0700)]
Revert "synth_xilinx to call dffinit with -noreinit"

This reverts commit 1f62dc9081feb4852b1848d01951f631853edb38.

5 years agoIf init is 1'bx, do not add to dict as per @cliffordwolf
Eddie Hung [Fri, 3 May 2019 15:06:16 +0000 (08:06 -0700)]
If init is 1'bx, do not add to dict as per @cliffordwolf

5 years agoRevert "dffinit -noreinit to silently continue when init value is 1'bx"
Eddie Hung [Fri, 3 May 2019 15:05:37 +0000 (08:05 -0700)]
Revert "dffinit -noreinit to silently continue when init value is 1'bx"

This reverts commit aa081f83c791b1d666214776aaf744a80ce6a690.

5 years agoMerge pull request #976 from YosysHQ/clifford/fix974
Clifford Wolf [Fri, 3 May 2019 13:29:44 +0000 (15:29 +0200)]
Merge pull request #976 from YosysHQ/clifford/fix974

Fix width detection of memory access with bit slice

5 years agoMerge pull request #985 from YosysHQ/clifford/fix981
Clifford Wolf [Fri, 3 May 2019 13:25:46 +0000 (15:25 +0200)]
Merge pull request #985 from YosysHQ/clifford/fix981

Improve opt_expr and opt_clean handling of (partially) undriven and/or unused wires

5 years agoFix typo in tests/svinterfaces/runone.sh
Clifford Wolf [Fri, 3 May 2019 12:40:51 +0000 (14:40 +0200)]
Fix typo in tests/svinterfaces/runone.sh

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #979 from jakobwenzel/svinterfacesTestcase
Clifford Wolf [Fri, 3 May 2019 12:37:46 +0000 (14:37 +0200)]
Merge pull request #979 from jakobwenzel/svinterfacesTestcase

fail svinterfaces testcases on yosys error exit

5 years agoImprove opt_expr and opt_clean handling of (partially) undriven and/or unused wires...
Clifford Wolf [Fri, 3 May 2019 12:24:53 +0000 (14:24 +0200)]
Improve opt_expr and opt_clean handling of (partially) undriven and/or unused wires, fixes #981

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agosynth_xilinx to call dffinit with -noreinit
Eddie Hung [Fri, 3 May 2019 00:41:20 +0000 (17:41 -0700)]
synth_xilinx to call dffinit with -noreinit

5 years agodffinit -noreinit to silently continue when init value is 1'bx
Eddie Hung [Fri, 3 May 2019 00:40:39 +0000 (17:40 -0700)]
dffinit -noreinit to silently continue when init value is 1'bx

5 years agofail svinterfaces testcases on yosys error exit
Jakob Wenzel [Thu, 25 Apr 2019 13:12:24 +0000 (15:12 +0200)]
fail svinterfaces testcases on yosys error exit

5 years agoMerge pull request #963 from YosysHQ/eddie/synth_xilinx_fine
Clifford Wolf [Thu, 2 May 2019 07:11:07 +0000 (09:11 +0200)]
Merge pull request #963 from YosysHQ/eddie/synth_xilinx_fine

Revert synth_xilinx 'fine' label more to how it used to be...

5 years agoMerge pull request #978 from ucb-bar/fmtfirrtl
Eddie Hung [Thu, 2 May 2019 01:24:21 +0000 (18:24 -0700)]
Merge pull request #978 from ucb-bar/fmtfirrtl

Re-indent firrtl.cc:struct memory - no functional change.

5 years agoBack to passing all xc7srl tests!
Eddie Hung [Thu, 2 May 2019 01:23:21 +0000 (18:23 -0700)]
Back to passing all xc7srl tests!

5 years agoMerge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine
Eddie Hung [Thu, 2 May 2019 01:09:38 +0000 (18:09 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine

5 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Wed, 1 May 2019 23:26:43 +0000 (16:26 -0700)]
Merge branch 'master' of github.com:YosysHQ/yosys

5 years agoRe-indent firrtl.cc:struct memory - no functional change.
Jim Lawson [Wed, 1 May 2019 23:21:13 +0000 (16:21 -0700)]
Re-indent firrtl.cc:struct memory - no functional change.

5 years agoMerge branch 'clifford/fix883'
Clifford Wolf [Wed, 1 May 2019 22:04:12 +0000 (00:04 +0200)]
Merge branch 'clifford/fix883'

5 years agoAdd missing enable_undef to "sat -tempinduct-def", fixes #883
Clifford Wolf [Wed, 1 May 2019 22:03:31 +0000 (00:03 +0200)]
Add missing enable_undef to "sat -tempinduct-def", fixes #883

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #977 from ucb-bar/fixfirrtlmem
Clifford Wolf [Wed, 1 May 2019 21:47:16 +0000 (23:47 +0200)]
Merge pull request #977 from ucb-bar/fixfirrtlmem

Fix #938 - Crash occurs in case when use write_firrtl command

5 years agoFix #938 - Crash occurs in case when use write_firrtl command
Jim Lawson [Wed, 1 May 2019 20:16:01 +0000 (13:16 -0700)]
Fix #938 - Crash occurs in case when use write_firrtl command
Add missing memory initialization.
Sanity-check memory parameters.
Add Cell pointer to memory object (for error reporting).

5 years agoFix floating point exception in qwp, fixes #923
Clifford Wolf [Wed, 1 May 2019 13:06:46 +0000 (15:06 +0200)]
Fix floating point exception in qwp, fixes #923

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd splitcmplxassign test case and silence splitcmplxassign warning
Clifford Wolf [Wed, 1 May 2019 08:01:54 +0000 (10:01 +0200)]
Add splitcmplxassign test case and silence splitcmplxassign warning

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix width detection of memory access with bit slice, fixes #974
Clifford Wolf [Wed, 1 May 2019 07:57:26 +0000 (09:57 +0200)]
Fix width detection of memory access with bit slice, fixes #974

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix segfault in wreduce
Clifford Wolf [Tue, 30 Apr 2019 20:20:45 +0000 (22:20 +0200)]
Fix segfault in wreduce

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDisabled "final loop assignment" feature
Clifford Wolf [Tue, 30 Apr 2019 18:22:50 +0000 (20:22 +0200)]
Disabled "final loop assignment" feature

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #972 from YosysHQ/clifford/fix968
Clifford Wolf [Tue, 30 Apr 2019 16:09:44 +0000 (18:09 +0200)]
Merge pull request #972 from YosysHQ/clifford/fix968

Add final loop variable assignment when unrolling for-loops

5 years agoMerge pull request #966 from YosysHQ/clifford/fix956
Clifford Wolf [Tue, 30 Apr 2019 16:08:41 +0000 (18:08 +0200)]
Merge pull request #966 from YosysHQ/clifford/fix956

Drive dangling wires with init attr with their init value

5 years agoMerge pull request #962 from YosysHQ/eddie/refactor_synth_xilinx
Clifford Wolf [Tue, 30 Apr 2019 16:07:19 +0000 (18:07 +0200)]
Merge pull request #962 from YosysHQ/eddie/refactor_synth_xilinx

Refactor synth_xilinx to auto-generate doc

5 years agoMerge branch 'master' into eddie/refactor_synth_xilinx
Clifford Wolf [Tue, 30 Apr 2019 15:00:34 +0000 (17:00 +0200)]
Merge branch 'master' into eddie/refactor_synth_xilinx

5 years agoMerge pull request #973 from christian-krieg/feature/python_bindings
Clifford Wolf [Tue, 30 Apr 2019 13:48:42 +0000 (15:48 +0200)]
Merge pull request #973 from christian-krieg/feature/python_bindings

Feature/python bindings cleanup

5 years agoInclude filename in "Executing Verilog-2005 frontend" message, fixes #959
Clifford Wolf [Tue, 30 Apr 2019 13:35:36 +0000 (15:35 +0200)]
Include filename in "Executing Verilog-2005 frontend" message, fixes #959

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix performance bug in RTLIL::SigSpec::operator==(), fixes #970
Clifford Wolf [Tue, 30 Apr 2019 13:19:04 +0000 (15:19 +0200)]
Fix performance bug in RTLIL::SigSpec::operator==(), fixes #970

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd final loop variable assignment when unrolling for-loops, fixes #968
Clifford Wolf [Tue, 30 Apr 2019 13:03:32 +0000 (15:03 +0200)]
Add final loop variable assignment when unrolling for-loops, fixes #968

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd handling of init attributes in "opt_expr -undriven"
Clifford Wolf [Tue, 30 Apr 2019 12:46:12 +0000 (14:46 +0200)]
Add handling of init attributes in "opt_expr -undriven"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'master' of https://github.com/YosysHQ/yosys into feature/python_bindings
Benedikt Tutzer [Tue, 30 Apr 2019 11:22:33 +0000 (13:22 +0200)]
Merge branch 'master' of https://github.com/YosysHQ/yosys into feature/python_bindings

5 years agoCleaned up root directory
Benedikt Tutzer [Tue, 30 Apr 2019 11:19:04 +0000 (13:19 +0200)]
Cleaned up root directory

5 years agoMerge pull request #960 from YosysHQ/eddie/equiv_opt_undef
Clifford Wolf [Mon, 29 Apr 2019 11:54:26 +0000 (13:54 +0200)]
Merge pull request #960 from YosysHQ/eddie/equiv_opt_undef

Add -undef option to equiv_opt, passed to equiv_induct

5 years agoMerge pull request #967 from olegendo/depfile_esc_spaces
Clifford Wolf [Mon, 29 Apr 2019 11:48:52 +0000 (13:48 +0200)]
Merge pull request #967 from olegendo/depfile_esc_spaces

escape spaces with backslash when writing dep file

5 years agofix codestyle formatting
Oleg Endo [Mon, 29 Apr 2019 10:20:33 +0000 (19:20 +0900)]
fix codestyle formatting

5 years agoescape spaces with backslash when writing dep file
Oleg Endo [Mon, 29 Apr 2019 07:13:34 +0000 (16:13 +0900)]
escape spaces with backslash when writing dep file

filenames are sparated by spaces in the dep file.  if a filename in the
dep file contains spaces they must be escaped, otherwise the tool that
reads the dep file will see multiple wrong filenames.

5 years agoDrive dangling wires with init attr with their init value, fixes #956
Clifford Wolf [Mon, 29 Apr 2019 06:38:38 +0000 (08:38 +0200)]
Drive dangling wires with init attr with their init value, fixes #956

5 years agoCopy with 1'bx padding in $shiftx
Eddie Hung [Sun, 28 Apr 2019 20:04:34 +0000 (13:04 -0700)]
Copy with 1'bx padding in $shiftx

5 years agoWIP
Eddie Hung [Sun, 28 Apr 2019 19:51:00 +0000 (12:51 -0700)]
WIP

5 years agoMove neg-pol to pos-pol mapping from ff_map to cells_map.v
Eddie Hung [Sun, 28 Apr 2019 19:36:04 +0000 (12:36 -0700)]
Move neg-pol to pos-pol mapping from ff_map to cells_map.v

5 years agoRevert synth_xilinx 'fine' label more to how it used to be...
Eddie Hung [Fri, 26 Apr 2019 23:53:16 +0000 (16:53 -0700)]
Revert synth_xilinx 'fine' label more to how it used to be...

5 years agoWhere did this check come from!?!
Eddie Hung [Fri, 26 Apr 2019 22:35:34 +0000 (15:35 -0700)]
Where did this check come from!?!

5 years agoRefactor synth_xilinx to auto-generate doc
Eddie Hung [Fri, 26 Apr 2019 21:32:18 +0000 (14:32 -0700)]
Refactor synth_xilinx to auto-generate doc

5 years agoCleanup ice40
Eddie Hung [Fri, 26 Apr 2019 21:31:59 +0000 (14:31 -0700)]
Cleanup ice40

5 years agoAdd -undef option to equiv_opt, passed to equiv_induct
Eddie Hung [Fri, 26 Apr 2019 18:14:33 +0000 (11:14 -0700)]
Add -undef option to equiv_opt, passed to equiv_induct

5 years agoMisspelling
Eddie Hung [Thu, 25 Apr 2019 23:46:13 +0000 (16:46 -0700)]
Misspelling

5 years agoMerge pull request #957 from YosysHQ/oai4fix
Clifford Wolf [Tue, 23 Apr 2019 17:59:39 +0000 (19:59 +0200)]
Merge pull request #957 from YosysHQ/oai4fix

Fixes for OAI4 cell implementation

5 years agoFixes for OAI4 cell implementation
David Shah [Tue, 23 Apr 2019 16:54:00 +0000 (17:54 +0100)]
Fixes for OAI4 cell implementation

Fixes #955 and the underlying issue in #954

Signed-off-by: David Shah <dave@ds0.me>
5 years agoFormat some names using inline code
Eddie Hung [Tue, 23 Apr 2019 16:01:10 +0000 (09:01 -0700)]
Format some names using inline code

5 years agoFix spelling
Eddie Hung [Tue, 23 Apr 2019 15:58:34 +0000 (08:58 -0700)]
Fix spelling

5 years agoRemove some left-over log_dump()
Clifford Wolf [Tue, 23 Apr 2019 15:55:41 +0000 (17:55 +0200)]
Remove some left-over log_dump()

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #914 from YosysHQ/xc7srl
Eddie Hung [Mon, 22 Apr 2019 20:31:30 +0000 (13:31 -0700)]
Merge pull request #914 from YosysHQ/xc7srl

synth_xilinx to now infer SRL16E/SRLC32E

5 years agoUpdate help message
Eddie Hung [Mon, 22 Apr 2019 18:38:23 +0000 (11:38 -0700)]
Update help message

5 years agoMerge pull request #952 from YosysHQ/clifford/fix370
Clifford Wolf [Mon, 22 Apr 2019 18:10:46 +0000 (20:10 +0200)]
Merge pull request #952 from YosysHQ/clifford/fix370

Determine correct signedness and expression width in for-loop unrolling

5 years agoMerge pull request #951 from YosysHQ/clifford/logdebug
Clifford Wolf [Mon, 22 Apr 2019 18:09:51 +0000 (20:09 +0200)]
Merge pull request #951 from YosysHQ/clifford/logdebug

Add log_debug() framework

5 years agoMerge pull request #949 from YosysHQ/clifford/pmux2shimprove
Clifford Wolf [Mon, 22 Apr 2019 18:01:43 +0000 (20:01 +0200)]
Merge pull request #949 from YosysHQ/clifford/pmux2shimprove

Add full_pmux feature to pmux2shiftx

5 years agoMerge pull request #953 from YosysHQ/clifford/fix948
Clifford Wolf [Mon, 22 Apr 2019 18:01:09 +0000 (20:01 +0200)]
Merge pull request #953 from YosysHQ/clifford/fix948

Add support for zero-width signals to Verilog back-end

5 years agoMove 'shregmap -tech xilinx' into map_cells
Eddie Hung [Mon, 22 Apr 2019 17:45:39 +0000 (10:45 -0700)]
Move 'shregmap -tech xilinx' into map_cells

5 years agoAdd support for zero-width signals to Verilog back-end, fixes #948
Clifford Wolf [Mon, 22 Apr 2019 17:44:10 +0000 (19:44 +0200)]
Add support for zero-width signals to Verilog back-end, fixes #948

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/master' into xc7srl
Eddie Hung [Mon, 22 Apr 2019 17:36:27 +0000 (10:36 -0700)]
Merge remote-tracking branch 'origin/master' into xc7srl

5 years agoDetermine correct signedness and expression width in for loop unrolling, fixes #370
Clifford Wolf [Mon, 22 Apr 2019 16:19:02 +0000 (18:19 +0200)]
Determine correct signedness and expression width in for loop unrolling, fixes #370

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd log_debug() framework
Clifford Wolf [Mon, 22 Apr 2019 15:25:52 +0000 (17:25 +0200)]
Add log_debug() framework

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #950 from whitequark/attrmap_remove_wildcard
Clifford Wolf [Mon, 22 Apr 2019 14:54:38 +0000 (16:54 +0200)]
Merge pull request #950 from whitequark/attrmap_remove_wildcard

attrmap: extend -remove to allow removing attributes with any value

5 years agoattrmap: extend -remove to allow removing attributes with any value.
whitequark [Mon, 22 Apr 2019 14:18:15 +0000 (14:18 +0000)]
attrmap: extend -remove to allow removing attributes with any value.

Currently, `-remove foo` would only remove an attribute `foo = ""`,
which doesn't work on an attribute like `src` that may have any
value. Extend `-remove` to handle both cases. `-remove foo=""` has
the old behavior, and `-remove foo` will remove the attribute with
whatever value it may have, which is still compatible with the old
behavior.

5 years agoUpdaye pmux2shiftx test
Clifford Wolf [Mon, 22 Apr 2019 14:17:43 +0000 (16:17 +0200)]
Updaye pmux2shiftx test

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd full_pmux feature to pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 13:26:20 +0000 (15:26 +0200)]
Add full_pmux feature to pmux2shiftx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_LIBYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:59:30 +0000 (14:59 +0200)]
Set ENABLE_LIBYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoSet ENABLE_PYOSYS=0 by default
Clifford Wolf [Mon, 22 Apr 2019 12:49:17 +0000 (14:49 +0200)]
Set ENABLE_PYOSYS=0 by default

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #905 from christian-krieg/feature/python_bindings
Clifford Wolf [Mon, 22 Apr 2019 12:47:52 +0000 (14:47 +0200)]
Merge pull request #905 from christian-krieg/feature/python_bindings

Feature/python bindings

5 years agoMerge pull request #941 from Wren6991/sim_lib_io_clke
Clifford Wolf [Mon, 22 Apr 2019 07:11:13 +0000 (09:11 +0200)]
Merge pull request #941 from Wren6991/sim_lib_io_clke

ice40 cells_sim.v: update clock enable behaviour based on hardware experiments

5 years agoMerge branch 'dh73-master'
Clifford Wolf [Mon, 22 Apr 2019 07:10:07 +0000 (09:10 +0200)]
Merge branch 'dh73-master'

5 years agoMerge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
Clifford Wolf [Mon, 22 Apr 2019 07:09:27 +0000 (09:09 +0200)]
Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master

5 years agoRe-added clean after techmap in synth_xilinx
Clifford Wolf [Mon, 22 Apr 2019 07:03:11 +0000 (09:03 +0200)]
Re-added clean after techmap in synth_xilinx

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #916 from YosysHQ/map_cells_before_map_luts
Clifford Wolf [Mon, 22 Apr 2019 07:01:00 +0000 (09:01 +0200)]
Merge pull request #916 from YosysHQ/map_cells_before_map_luts

synth_xilinx to map_cells before map_luts

5 years agoMerge pull request #911 from mmicko/gowin-nobram
Clifford Wolf [Mon, 22 Apr 2019 06:58:09 +0000 (08:58 +0200)]
Merge pull request #911 from mmicko/gowin-nobram

Make nobram false by default for gowin

5 years agoMerge pull request #909 from zachjs/master
Clifford Wolf [Mon, 22 Apr 2019 06:51:34 +0000 (08:51 +0200)]
Merge pull request #909 from zachjs/master

support repeat loops with constant repeat counts outside of constant functions

5 years agoMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
Clifford Wolf [Mon, 22 Apr 2019 06:39:37 +0000 (08:39 +0200)]
Merge pull request #944 from YosysHQ/clifford/pmux2shiftx

Add pmux2shiftx command

5 years agoMerge pull request #945 from YosysHQ/clifford/libwb
Clifford Wolf [Mon, 22 Apr 2019 06:38:52 +0000 (08:38 +0200)]
Merge pull request #945 from YosysHQ/clifford/libwb

New behavior for read_verilog handling of whiteboxes

5 years agoDisable blackbox detection in techmap files
Clifford Wolf [Mon, 22 Apr 2019 00:07:36 +0000 (02:07 +0200)]
Disable blackbox detection in techmap files

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoTidy up, fix for -nosrl
Eddie Hung [Sun, 21 Apr 2019 22:33:03 +0000 (15:33 -0700)]
Tidy up, fix for -nosrl

5 years agoMerge branch 'map_cells_before_map_luts' into xc7srl
Eddie Hung [Sun, 21 Apr 2019 21:28:55 +0000 (14:28 -0700)]
Merge branch 'map_cells_before_map_luts' into xc7srl

5 years agoMerge branch 'master' into map_cells_before_map_luts
Eddie Hung [Sun, 21 Apr 2019 21:24:50 +0000 (14:24 -0700)]
Merge branch 'master' into map_cells_before_map_luts

5 years agoAdd comments
Eddie Hung [Sun, 21 Apr 2019 21:16:59 +0000 (14:16 -0700)]
Add comments

5 years agoUse new pmux2shiftx from #944, remove my old attempt
Eddie Hung [Sun, 21 Apr 2019 21:16:34 +0000 (14:16 -0700)]
Use new pmux2shiftx from #944, remove my old attempt

5 years agoice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments
Luke Wren [Wed, 17 Apr 2019 21:56:41 +0000 (22:56 +0100)]
ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments

5 years agoFix tests
Clifford Wolf [Sun, 21 Apr 2019 09:40:20 +0000 (11:40 +0200)]
Fix tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "noblackbox" attribute
Clifford Wolf [Sun, 21 Apr 2019 09:40:09 +0000 (11:40 +0200)]
Add "noblackbox" attribute

Signed-off-by: Clifford Wolf <clifford@clifford.at>