yosys.git
4 years agoFix $__ABC9_ASYNC1 to output 1'b1 not 1'b0
Eddie Hung [Wed, 22 Jan 2020 22:22:03 +0000 (14:22 -0800)]
Fix $__ABC9_ASYNC1 to output 1'b1 not 1'b0

4 years agoread_aiger: also parse abc9_mergeability
Eddie Hung [Wed, 22 Jan 2020 22:21:25 +0000 (14:21 -0800)]
read_aiger: also parse abc9_mergeability

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_fixes' into eddie/abc9_refactor
Eddie Hung [Wed, 22 Jan 2020 20:30:14 +0000 (12:30 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_fixes' into eddie/abc9_refactor

4 years agoabc9: error out if flip-flop init is 1'b1 for '-dff'
Eddie Hung [Wed, 22 Jan 2020 18:08:48 +0000 (10:08 -0800)]
abc9: error out if flip-flop init is 1'b1 for '-dff'

Due to ABC sequential synthesis restriction

4 years agoabc9: fix scratchpad entry abc9.verify
Eddie Hung [Wed, 22 Jan 2020 17:36:54 +0000 (09:36 -0800)]
abc9: fix scratchpad entry abc9.verify

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Wed, 22 Jan 2020 00:27:40 +0000 (16:27 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoread_aiger: discard LUT inputs with nodeID == 0; not < 2
Eddie Hung [Tue, 21 Jan 2020 19:56:01 +0000 (11:56 -0800)]
read_aiger: discard LUT inputs with nodeID == 0; not < 2

4 years agoread_aiger: ignore constant inputs on LUTs
Eddie Hung [Tue, 21 Jan 2020 19:16:50 +0000 (11:16 -0800)]
read_aiger: ignore constant inputs on LUTs

4 years agowrite_xaiger: fix for (* keep *) on flop output
Eddie Hung [Tue, 21 Jan 2020 17:43:04 +0000 (09:43 -0800)]
write_xaiger: fix for (* keep *) on flop output

4 years agoMerge pull request #1637 from YosysHQ/mwk/fix-1634
Claire Wolf [Tue, 21 Jan 2020 17:37:06 +0000 (18:37 +0100)]
Merge pull request #1637 from YosysHQ/mwk/fix-1634

fsm_detect: Add a cache to avoid excessive CPU usage for big mux networks.

4 years agoMerge pull request #1629 from YosysHQ/mwk/edif-z
Claire Wolf [Tue, 21 Jan 2020 17:35:15 +0000 (18:35 +0100)]
Merge pull request #1629 from YosysHQ/mwk/edif-z

edif: Just ignore connections to 'z

4 years agoMerge pull request #1621 from YosysHQ/clifford/fminit
Claire Wolf [Mon, 20 Jan 2020 21:01:57 +0000 (22:01 +0100)]
Merge pull request #1621 from YosysHQ/clifford/fminit

Add fminit pass

4 years agoMerge pull request #1643 from YosysHQ/eddie/cleanup_arith_map
Eddie Hung [Sat, 18 Jan 2020 17:11:52 +0000 (09:11 -0800)]
Merge pull request #1643 from YosysHQ/eddie/cleanup_arith_map

Cleanup +/xilinx/arith_map.v

4 years agoMerge pull request #1602 from niklasnisbeth/ice40-init-vals-warning
David Shah [Sat, 18 Jan 2020 09:47:17 +0000 (09:47 +0000)]
Merge pull request #1602 from niklasnisbeth/ice40-init-vals-warning

ice40: Demote conflicting FF init values to a warning

4 years agoMerge pull request #1645 from YosysHQ/eddie/fix1644
Eddie Hung [Sat, 18 Jan 2020 03:25:59 +0000 (19:25 -0800)]
Merge pull request #1645 from YosysHQ/eddie/fix1644

{ice40,xilinx}_dsp: improve robustess

4 years agoxilinx_dsp: another typo; move xilinx specific test
Eddie Hung [Sat, 18 Jan 2020 01:07:03 +0000 (17:07 -0800)]
xilinx_dsp: another typo; move xilinx specific test

4 years agoice40_dsp: fix typo
Eddie Hung [Sat, 18 Jan 2020 00:08:04 +0000 (16:08 -0800)]
ice40_dsp: fix typo

4 years agoConsistency
Eddie Hung [Sat, 18 Jan 2020 00:06:20 +0000 (16:06 -0800)]
Consistency

4 years agoxilinx_dsp: add parameter defaults
Eddie Hung [Sat, 18 Jan 2020 00:05:10 +0000 (16:05 -0800)]
xilinx_dsp: add parameter defaults

4 years agoAdd #1644 testcase
Eddie Hung [Fri, 17 Jan 2020 23:57:52 +0000 (15:57 -0800)]
Add #1644 testcase

4 years agosynth_ice40: call wreduce before mul2dsp
Eddie Hung [Fri, 17 Jan 2020 23:41:55 +0000 (15:41 -0800)]
synth_ice40: call wreduce before mul2dsp

4 years agoice40_dsp: add test
Eddie Hung [Fri, 17 Jan 2020 23:38:26 +0000 (15:38 -0800)]
ice40_dsp: add test

4 years agoice40_dsp: add default values for parameters
Eddie Hung [Fri, 17 Jan 2020 23:37:52 +0000 (15:37 -0800)]
ice40_dsp: add default values for parameters

4 years agoice40_dsp: tolerant of fanout-less outputs, as well as all-zero inputs
Eddie Hung [Fri, 17 Jan 2020 23:28:02 +0000 (15:28 -0800)]
ice40_dsp: tolerant of fanout-less outputs, as well as all-zero inputs

4 years agoDeprecate `_CLB_CARRY from +/xilinx/arith_map.v since #1623
Eddie Hung [Fri, 17 Jan 2020 20:02:46 +0000 (12:02 -0800)]
Deprecate `_CLB_CARRY from +/xilinx/arith_map.v since #1623

4 years agoabc9: add some log_{push,pop}() as per @nakengelhardt
Eddie Hung [Fri, 17 Jan 2020 20:00:14 +0000 (12:00 -0800)]
abc9: add some log_{push,pop}() as per @nakengelhardt

4 years ago+/xilinx/arith_map.v fix $lcu rule
Eddie Hung [Fri, 17 Jan 2020 19:14:19 +0000 (11:14 -0800)]
+/xilinx/arith_map.v fix $lcu rule

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Thu, 16 Jan 2020 00:42:16 +0000 (16:42 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1639 from YosysHQ/eddie/fix_read_xaiger
Eddie Hung [Thu, 16 Jan 2020 00:22:49 +0000 (16:22 -0800)]
Merge pull request #1639 from YosysHQ/eddie/fix_read_xaiger

read_aiger: $lut prefix in front

4 years agoabc9: aAdd test to check $_NOT_s are absorbed
Eddie Hung [Wed, 15 Jan 2020 22:36:05 +0000 (14:36 -0800)]
abc9: aAdd test to check $_NOT_s are absorbed

4 years agoread_aiger: $lut prefix in front
Eddie Hung [Wed, 15 Jan 2020 22:31:32 +0000 (14:31 -0800)]
read_aiger: $lut prefix in front

4 years agowrite_xaiger: skip abc9_flop only if abc_box_seq present
Eddie Hung [Wed, 15 Jan 2020 19:25:20 +0000 (11:25 -0800)]
write_xaiger: skip abc9_flop only if abc_box_seq present

4 years agoMerge pull request #1636 from YosysHQ/eddie/fix_synth_xilinx_W
Miodrag Milanović [Wed, 15 Jan 2020 07:47:16 +0000 (08:47 +0100)]
Merge pull request #1636 from YosysHQ/eddie/fix_synth_xilinx_W

synth_xilinx: fix default W value for non-xc7

4 years agowrite_xaiger: do not export flop inputs as POs
Eddie Hung [Wed, 15 Jan 2020 00:17:27 +0000 (16:17 -0800)]
write_xaiger: do not export flop inputs as POs

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Tue, 14 Jan 2020 23:47:13 +0000 (15:47 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoabc9_ops: -reintegrate to not trim box padding anymore
Eddie Hung [Tue, 14 Jan 2020 22:27:29 +0000 (14:27 -0800)]
abc9_ops: -reintegrate to not trim box padding anymore

4 years agoMerge pull request #1635 from YosysHQ/eddie/print_stats
Eddie Hung [Tue, 14 Jan 2020 22:18:42 +0000 (14:18 -0800)]
Merge pull request #1635 from YosysHQ/eddie/print_stats

print_stats footer to return peak memory, option for including children

4 years agofsm_detect: Add a cache to avoid excessive CPU usage for big mux networks.
Marcin Kościelnicki [Tue, 14 Jan 2020 21:48:40 +0000 (22:48 +0100)]
fsm_detect: Add a cache to avoid excessive CPU usage for big mux networks.

Fixes #1634.

4 years agoabc9_ops/write_xaiger: update doc
Eddie Hung [Tue, 14 Jan 2020 20:40:36 +0000 (12:40 -0800)]
abc9_ops/write_xaiger: update doc

4 years agoabc9_ops: -prep_holes -> -prep_xaiger, move padding to write_xaiger
Eddie Hung [Tue, 14 Jan 2020 20:25:45 +0000 (12:25 -0800)]
abc9_ops: -prep_holes -> -prep_xaiger, move padding to write_xaiger

4 years agoAdding (* techmap_autopurge *) to FD* in abc9_map.v
Eddie Hung [Tue, 14 Jan 2020 20:22:21 +0000 (12:22 -0800)]
Adding (* techmap_autopurge *) to FD* in abc9_map.v

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Tue, 14 Jan 2020 19:46:56 +0000 (11:46 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1633 from YosysHQ/eddie/fix_autoname
Eddie Hung [Tue, 14 Jan 2020 19:40:54 +0000 (11:40 -0800)]
Merge pull request #1633 from YosysHQ/eddie/fix_autoname

autoname: do not rename ports

4 years agoMerge pull request #1632 from YosysHQ/eddie/fix1630
Eddie Hung [Tue, 14 Jan 2020 19:40:40 +0000 (11:40 -0800)]
Merge pull request #1632 from YosysHQ/eddie/fix1630

read_aiger: uniquify wires with $aiger<autoidx> prefix

4 years agoAs before, only display MEM if Linux or FreeBSD
Eddie Hung [Tue, 14 Jan 2020 19:38:48 +0000 (11:38 -0800)]
As before, only display MEM if Linux or FreeBSD

4 years agosynth_xilinx: fix default W value for non-xc7
Eddie Hung [Tue, 14 Jan 2020 19:34:40 +0000 (11:34 -0800)]
synth_xilinx: fix default W value for non-xc7

4 years agoprint_stats footer to return peak memory, option for including children
Eddie Hung [Tue, 14 Jan 2020 19:25:23 +0000 (11:25 -0800)]
print_stats footer to return peak memory, option for including children

4 years agoMerge pull request #1623 from YosysHQ/mmicko/edif_attr
Miodrag Milanović [Tue, 14 Jan 2020 18:19:32 +0000 (19:19 +0100)]
Merge pull request #1623 from YosysHQ/mmicko/edif_attr

Export wire properties in EDIF

4 years agoautoname: do not autoname ports
Eddie Hung [Tue, 14 Jan 2020 18:13:29 +0000 (10:13 -0800)]
autoname: do not autoname ports

4 years agoautoname: add testcase with $-prefix-ed port
Eddie Hung [Tue, 14 Jan 2020 18:13:03 +0000 (10:13 -0800)]
autoname: add testcase with $-prefix-ed port

4 years agoread_aiger: also rename "$0"
Eddie Hung [Tue, 14 Jan 2020 17:01:53 +0000 (09:01 -0800)]
read_aiger: also rename "$0"

4 years agoabc9_ops: -break_scc -> -mark_scc using (* keep *), remove -unbreak_scc
Eddie Hung [Tue, 14 Jan 2020 07:42:27 +0000 (23:42 -0800)]
abc9_ops: -break_scc -> -mark_scc using (* keep *), remove -unbreak_scc

4 years agoabc9_ops: ignore inouts of all cell outputs for topo ordering
Eddie Hung [Tue, 14 Jan 2020 07:33:37 +0000 (23:33 -0800)]
abc9_ops: ignore inouts of all cell outputs for topo ordering

4 years agowrite_xaiger: fix case of PI and CI and (* keep *)
Eddie Hung [Tue, 14 Jan 2020 07:23:21 +0000 (23:23 -0800)]
write_xaiger: fix case of PI and CI and (* keep *)

4 years agoabc9: break SCC by setting (* keep *) on output wires
Eddie Hung [Tue, 14 Jan 2020 05:45:27 +0000 (21:45 -0800)]
abc9: break SCC by setting (* keep *) on output wires

4 years agoread_aiger: uniquify wires with $aiger<autoidx> prefix
Eddie Hung [Tue, 14 Jan 2020 05:28:27 +0000 (21:28 -0800)]
read_aiger: uniquify wires with $aiger<autoidx> prefix

4 years agoAdd #1630 testcase
Eddie Hung [Tue, 14 Jan 2020 05:27:53 +0000 (21:27 -0800)]
Add #1630 testcase

4 years agoabc9: add -run option
Eddie Hung [Tue, 14 Jan 2020 03:22:23 +0000 (19:22 -0800)]
abc9: add -run option

4 years agoabc9: respect (* keep *) on cells
Eddie Hung [Tue, 14 Jan 2020 03:21:11 +0000 (19:21 -0800)]
abc9: respect (* keep *) on cells

4 years agowrite_xaiger: add support and test for (* keep *) on wires
Eddie Hung [Tue, 14 Jan 2020 03:07:55 +0000 (19:07 -0800)]
write_xaiger: add support and test for (* keep *) on wires

4 years agoread_aiger: make $and/$not/$lut the prefix not suffix
Eddie Hung [Tue, 14 Jan 2020 01:34:37 +0000 (17:34 -0800)]
read_aiger: make $and/$not/$lut the prefix not suffix

4 years agowrite_xaiger: cache arrival times
Eddie Hung [Mon, 13 Jan 2020 17:50:50 +0000 (09:50 -0800)]
write_xaiger: cache arrival times

4 years agoabc9: log which module is being operated on
Eddie Hung [Mon, 13 Jan 2020 17:43:57 +0000 (09:43 -0800)]
abc9: log which module is being operated on

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Mon, 13 Jan 2020 17:22:42 +0000 (09:22 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1620 from YosysHQ/eddie/abc9_scratchpad
Eddie Hung [Mon, 13 Jan 2020 17:04:20 +0000 (09:04 -0800)]
Merge pull request #1620 from YosysHQ/eddie/abc9_scratchpad

abc9: add some scripts/options into "scratchpad"

4 years agoMerge pull request #1627 from YosysHQ/eddie/fix1626
Eddie Hung [Mon, 13 Jan 2020 16:17:34 +0000 (08:17 -0800)]
Merge pull request #1627 from YosysHQ/eddie/fix1626

synth_ice40: -abc2 to always use `abc` even if `-abc9`

4 years agoedif: Just ignore connections to 'z
Marcin Kościelnicki [Mon, 13 Jan 2020 13:49:31 +0000 (14:49 +0100)]
edif: Just ignore connections to 'z

Connecting a const 'z to a net should be equivalent to not connecting it
at all, so let's just ignore such connections on output.

4 years agoAdd #1626 testcase
Eddie Hung [Sun, 12 Jan 2020 23:21:26 +0000 (15:21 -0800)]
Add #1626 testcase

4 years agosynth_ice40: -abc2 to always use `abc` even if `-abc9`
Eddie Hung [Sun, 12 Jan 2020 19:26:05 +0000 (11:26 -0800)]
synth_ice40: -abc2 to always use `abc` even if `-abc9`

4 years agoAnother conflict
Eddie Hung [Sun, 12 Jan 2020 02:57:25 +0000 (18:57 -0800)]
Another conflict

4 years agocleanup
Eddie Hung [Sun, 12 Jan 2020 01:28:24 +0000 (17:28 -0800)]
cleanup

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Sun, 12 Jan 2020 01:28:20 +0000 (17:28 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Sun, 12 Jan 2020 01:26:25 +0000 (17:26 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agowrite_xaiger: create holes_sigmap before modifications
Eddie Hung [Sun, 12 Jan 2020 01:25:32 +0000 (17:25 -0800)]
write_xaiger: create holes_sigmap before modifications

4 years agoMIssed this merge conflict
Eddie Hung [Sun, 12 Jan 2020 01:05:30 +0000 (17:05 -0800)]
MIssed this merge conflict

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_scratchpad
Eddie Hung [Sun, 12 Jan 2020 01:02:20 +0000 (17:02 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_scratchpad

4 years agoMerge branch 'eddie/abc9_refactor' of github.com:YosysHQ/yosys into eddie/abc9_refactor
Eddie Hung [Sat, 11 Jan 2020 21:56:41 +0000 (13:56 -0800)]
Merge branch 'eddie/abc9_refactor' of github.com:YosysHQ/yosys into eddie/abc9_refactor

4 years agoMerge pull request #1625 from YosysHQ/eddie/abc9_mfs
Eddie Hung [Sat, 11 Jan 2020 21:49:24 +0000 (13:49 -0800)]
Merge pull request #1625 from YosysHQ/eddie/abc9_mfs

abc9: re-enable "&mfs" optimisation for synth_{xilinx,ecp5}

4 years agoabc9: fix help message, found by @nakengelhardt
Eddie Hung [Sat, 11 Jan 2020 20:11:35 +0000 (12:11 -0800)]
abc9: fix help message, found by @nakengelhardt

4 years agowrite_xaiger: sort holes by offset as well as port_id
Eddie Hung [Sat, 11 Jan 2020 19:49:57 +0000 (11:49 -0800)]
write_xaiger: sort holes by offset as well as port_id

4 years agoabc9: cleanup
Eddie Hung [Sat, 11 Jan 2020 16:42:58 +0000 (08:42 -0800)]
abc9: cleanup

4 years agoabc9: remove -nomfs option
Eddie Hung [Sat, 11 Jan 2020 16:08:35 +0000 (08:08 -0800)]
abc9: remove -nomfs option

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_mfs
Eddie Hung [Sat, 11 Jan 2020 15:59:56 +0000 (07:59 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_mfs

4 years agoBump ABCREV for upstream fix
Eddie Hung [Sat, 11 Jan 2020 15:59:18 +0000 (07:59 -0800)]
Bump ABCREV for upstream fix

4 years agoMerge pull request #1622 from YosysHQ/clifford/onpassreg
Eddie Hung [Sat, 11 Jan 2020 15:55:00 +0000 (07:55 -0800)]
Merge pull request #1622 from YosysHQ/clifford/onpassreg

Add Pass::on_register() and Pass::on_shutdown()

4 years agoCopy-pasta
Eddie Hung [Fri, 10 Jan 2020 23:09:42 +0000 (15:09 -0800)]
Copy-pasta

4 years agosynth_xilinx: synth_xilinx.abc9.xc7.W to replace XC7_WIRE_DELAY macro
Eddie Hung [Fri, 10 Jan 2020 23:07:46 +0000 (15:07 -0800)]
synth_xilinx: synth_xilinx.abc9.xc7.W to replace XC7_WIRE_DELAY macro

4 years agoabc9: add abc9.verify and abc9.debug options
Eddie Hung [Fri, 10 Jan 2020 23:04:13 +0000 (15:04 -0800)]
abc9: add abc9.verify and abc9.debug options

4 years agoMerge pull request #1624 from YosysHQ/eddie/abc9_leak
Eddie Hung [Fri, 10 Jan 2020 19:28:38 +0000 (11:28 -0800)]
Merge pull request #1624 from YosysHQ/eddie/abc9_leak

abc9: fix memory leak

4 years agoabc9: fix memory leak
Eddie Hung [Fri, 10 Jan 2020 18:46:06 +0000 (10:46 -0800)]
abc9: fix memory leak

4 years agoabc9: fix typos
Eddie Hung [Fri, 10 Jan 2020 18:00:09 +0000 (10:00 -0800)]
abc9: fix typos

4 years agothis one is fine
Miodrag Milanovic [Fri, 10 Jan 2020 14:20:50 +0000 (15:20 +0100)]
this one is fine

4 years agoFix tests
Miodrag Milanovic [Fri, 10 Jan 2020 13:48:01 +0000 (14:48 +0100)]
Fix tests

4 years agoremove whitespace
Miodrag Milanovic [Fri, 10 Jan 2020 11:38:03 +0000 (12:38 +0100)]
remove whitespace

4 years agoUse CARRY4 for abc1 as well, preventing issues with Vivado
Miodrag Milanovic [Fri, 10 Jan 2020 11:34:21 +0000 (12:34 +0100)]
Use CARRY4 for abc1 as well, preventing issues with Vivado

4 years agoExport wire properties as well in EDIF
Miodrag Milanovic [Fri, 10 Jan 2020 11:33:58 +0000 (12:33 +0100)]
Export wire properties as well in EDIF

4 years agoAdd abc9 sanity test
Eddie Hung [Fri, 10 Jan 2020 02:17:06 +0000 (18:17 -0800)]
Add abc9 sanity test

4 years agoTune abc9.script.flow
Eddie Hung [Fri, 10 Jan 2020 02:16:58 +0000 (18:16 -0800)]
Tune abc9.script.flow

4 years agoAdd '-v' to &if for abc9.script.default.fast
Eddie Hung [Fri, 10 Jan 2020 01:49:56 +0000 (17:49 -0800)]
Add '-v' to &if for abc9.script.default.fast

4 years agoabc9: start post-fix with semicolon
Eddie Hung [Fri, 10 Jan 2020 01:35:13 +0000 (17:35 -0800)]
abc9: start post-fix with semicolon