yosys.git
5 years agoautotest.sh to define _AUTOTB when test_autotb
Eddie Hung [Fri, 28 Jun 2019 21:18:56 +0000 (14:18 -0700)]
autotest.sh to define _AUTOTB when test_autotb

5 years agoMerge remote-tracking branch 'origin/eddie/script_from_wire' into xc7mux
Eddie Hung [Fri, 28 Jun 2019 20:39:46 +0000 (13:39 -0700)]
Merge remote-tracking branch 'origin/eddie/script_from_wire' into xc7mux

5 years agoAdd to CHANGELOG
Eddie Hung [Fri, 28 Jun 2019 20:39:06 +0000 (13:39 -0700)]
Add to CHANGELOG

5 years agoSupport ability for "script -select" to take commands from wires
Eddie Hung [Fri, 28 Jun 2019 20:36:33 +0000 (13:36 -0700)]
Support ability for "script -select" to take commands from wires

5 years agoAdd test
Eddie Hung [Fri, 28 Jun 2019 20:32:09 +0000 (13:32 -0700)]
Add test

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 28 Jun 2019 19:55:24 +0000 (12:55 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoReplace log_assert() with meaningful log_error()
Eddie Hung [Fri, 28 Jun 2019 18:28:29 +0000 (11:28 -0700)]
Replace log_assert() with meaningful log_error()

5 years agoRemove peepopt call in synth_xilinx since already in synth -run coarse
Eddie Hung [Fri, 28 Jun 2019 19:53:38 +0000 (12:53 -0700)]
Remove peepopt call in synth_xilinx since already in synth -run coarse

5 years agoRestore $__XILINX_MUXF78 const optimisation
Eddie Hung [Fri, 28 Jun 2019 19:12:41 +0000 (12:12 -0700)]
Restore $__XILINX_MUXF78 const optimisation

5 years agoClean up trimming leading 1'bx in A during techmappnig
Eddie Hung [Fri, 28 Jun 2019 19:03:43 +0000 (12:03 -0700)]
Clean up trimming leading 1'bx in A during techmappnig

5 years agoFix CARRY4 abc_box_id
Eddie Hung [Fri, 28 Jun 2019 18:28:50 +0000 (11:28 -0700)]
Fix CARRY4 abc_box_id

5 years agoReplace log_assert() with meaningful log_error()
Eddie Hung [Fri, 28 Jun 2019 18:28:29 +0000 (11:28 -0700)]
Replace log_assert() with meaningful log_error()

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 28 Jun 2019 18:17:13 +0000 (11:17 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoAdd missing CHANGELOG entries
Eddie Hung [Fri, 28 Jun 2019 18:16:15 +0000 (11:16 -0700)]
Add missing CHANGELOG entries

5 years agoUpdate CHANGELOG with -widemux
Eddie Hung [Fri, 28 Jun 2019 18:12:41 +0000 (11:12 -0700)]
Update CHANGELOG with -widemux

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 28 Jun 2019 18:11:56 +0000 (11:11 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoFix spacing
Eddie Hung [Fri, 28 Jun 2019 18:10:36 +0000 (11:10 -0700)]
Fix spacing

5 years agoMerge remote-tracking branch 'origin/master' into xc7mux
Eddie Hung [Fri, 28 Jun 2019 18:09:42 +0000 (11:09 -0700)]
Merge remote-tracking branch 'origin/master' into xc7mux

5 years agoMerge pull request #1098 from YosysHQ/xaig
Eddie Hung [Fri, 28 Jun 2019 17:59:03 +0000 (10:59 -0700)]
Merge pull request #1098 from YosysHQ/xaig

"abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)

5 years agoAdd generic __builtin_bswap32 function
Eddie Hung [Fri, 28 Jun 2019 16:59:47 +0000 (09:59 -0700)]
Add generic __builtin_bswap32 function

5 years agoAlso fix write_aiger for UB
Eddie Hung [Fri, 28 Jun 2019 16:55:07 +0000 (09:55 -0700)]
Also fix write_aiger for UB

5 years agoFix more potential for undefined behaviour due to container invalidation
Eddie Hung [Fri, 28 Jun 2019 16:51:43 +0000 (09:51 -0700)]
Fix more potential for undefined behaviour due to container invalidation

5 years agoUpdate synth_ice40 -device doc to be relevant for -abc9 only
Eddie Hung [Fri, 28 Jun 2019 16:49:01 +0000 (09:49 -0700)]
Update synth_ice40 -device doc to be relevant for -abc9 only

5 years agoDisable boxing of ECP5 dist RAM due to regression
Eddie Hung [Fri, 28 Jun 2019 16:46:36 +0000 (09:46 -0700)]
Disable boxing of ECP5 dist RAM due to regression

5 years agoAdd write address to abc_scc_break of ECP5 dist RAM
Eddie Hung [Fri, 28 Jun 2019 16:45:48 +0000 (09:45 -0700)]
Add write address to abc_scc_break of ECP5 dist RAM

5 years agoFix DO4 typo
Eddie Hung [Fri, 28 Jun 2019 16:45:40 +0000 (09:45 -0700)]
Fix DO4 typo

5 years agoMerge pull request #1146 from gsomlo/gls-test-abc-ext
Clifford Wolf [Fri, 28 Jun 2019 08:30:31 +0000 (10:30 +0200)]
Merge pull request #1146 from gsomlo/gls-test-abc-ext

tests: use optional ABCEXTERNAL when specified

5 years agoMerge pull request #1046 from bogdanvuk/master
Clifford Wolf [Fri, 28 Jun 2019 06:30:18 +0000 (08:30 +0200)]
Merge pull request #1046 from bogdanvuk/master

Optimizing DFFs whose initial value prevents their value from changing

5 years agotests: use optional ABCEXTERNAL when specified
Gabriel L. Somlo [Fri, 28 Jun 2019 02:54:09 +0000 (22:54 -0400)]
tests: use optional ABCEXTERNAL when specified

Commits 65924fd1abc40924, and ebe29b66 hard-code the invocation
of yosys-abc, which fails if ABCEXTERNAL was specified during the
build. Allow tests to utilize an optional, externally specified
abc binary.

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
5 years agoReduce diff with upstream
Eddie Hung [Thu, 27 Jun 2019 23:13:22 +0000 (16:13 -0700)]
Reduce diff with upstream

5 years agoExtraneous newline
Eddie Hung [Thu, 27 Jun 2019 23:12:20 +0000 (16:12 -0700)]
Extraneous newline

5 years agoRemove noise from ice40/cells_sim.v
Eddie Hung [Thu, 27 Jun 2019 23:11:39 +0000 (16:11 -0700)]
Remove noise from ice40/cells_sim.v

5 years agoRefactor for one "abc_carry" attribute on module
Eddie Hung [Thu, 27 Jun 2019 23:07:14 +0000 (16:07 -0700)]
Refactor for one "abc_carry" attribute on module

5 years agoMerge branch 'xaig' of github.com:YosysHQ/yosys into xaig
Eddie Hung [Thu, 27 Jun 2019 22:30:00 +0000 (15:30 -0700)]
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig

5 years agoDo not use Module::remove() iterator version
Eddie Hung [Thu, 27 Jun 2019 22:29:20 +0000 (15:29 -0700)]
Do not use Module::remove() iterator version

5 years agoRemove redundant doc
Eddie Hung [Thu, 27 Jun 2019 22:28:55 +0000 (15:28 -0700)]
Remove redundant doc

5 years agoRemove &retime when abc9 -fast
Eddie Hung [Thu, 27 Jun 2019 22:17:39 +0000 (15:17 -0700)]
Remove &retime when abc9 -fast

5 years agoCleanup abc9.cc
Eddie Hung [Thu, 27 Jun 2019 22:15:56 +0000 (15:15 -0700)]
Cleanup abc9.cc

5 years agoUndo iterator based Module::remove() for cells, as containers will not
Eddie Hung [Thu, 27 Jun 2019 22:03:21 +0000 (15:03 -0700)]
Undo iterator based Module::remove() for cells, as containers will not
invalidate

5 years agoAdd help for "-sat" option inside opt_rmdff. "opt" can pass "-sat" too
Bogdan Vukobratovic [Thu, 27 Jun 2019 20:06:23 +0000 (22:06 +0200)]
Add help for "-sat" option inside opt_rmdff. "opt" can pass "-sat" too

5 years agoFix memory leak when one of multiple DFF cells is removed in opt_rmdff
Bogdan Vukobratovic [Thu, 27 Jun 2019 20:02:12 +0000 (22:02 +0200)]
Fix memory leak when one of multiple DFF cells is removed in opt_rmdff

When there are multiple DFFs and one of them is removed, its reference lingers
inside bit2driver dict. While invoking handle_dff() function for other DFFs,
this broken reference is used isnside sat_import_cell() function.

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 27 Jun 2019 19:53:23 +0000 (12:53 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge pull request #1139 from YosysHQ/dave/check-sim-iverilog
Eddie Hung [Thu, 27 Jun 2019 19:31:15 +0000 (12:31 -0700)]
Merge pull request #1139 from YosysHQ/dave/check-sim-iverilog

tests: Check that Icarus can parse arch sim models

5 years agoMerge branch 'xaig' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 18:55:11 +0000 (11:55 -0700)]
Merge branch 'xaig' into xc7mux

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 27 Jun 2019 18:54:34 +0000 (11:54 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoGrr
Eddie Hung [Thu, 27 Jun 2019 18:53:42 +0000 (11:53 -0700)]
Grr

5 years agoCapitalisation
Eddie Hung [Thu, 27 Jun 2019 18:26:44 +0000 (11:26 -0700)]
Capitalisation

5 years agoMake CHANGELOG clearer
Eddie Hung [Thu, 27 Jun 2019 18:25:57 +0000 (11:25 -0700)]
Make CHANGELOG clearer

5 years agoMerge pull request #1143 from YosysHQ/clifford/fix1135
Eddie Hung [Thu, 27 Jun 2019 18:48:48 +0000 (11:48 -0700)]
Merge pull request #1143 from YosysHQ/clifford/fix1135

Add "pmux2shiftx -norange"

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 18:31:30 +0000 (11:31 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 27 Jun 2019 18:31:19 +0000 (11:31 -0700)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 18:23:30 +0000 (11:23 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoAdd warning if synth_xilinx -abc9 with family != xc7
Eddie Hung [Thu, 27 Jun 2019 18:22:49 +0000 (11:22 -0700)]
Add warning if synth_xilinx -abc9 with family != xc7

5 years agoRemove unneeded include
Eddie Hung [Thu, 27 Jun 2019 18:20:40 +0000 (11:20 -0700)]
Remove unneeded include

5 years agoMerge origin/master
Eddie Hung [Thu, 27 Jun 2019 18:20:15 +0000 (11:20 -0700)]
Merge origin/master

5 years agoAdd simcells.v, simlib.v, and some output
Eddie Hung [Thu, 27 Jun 2019 18:13:49 +0000 (11:13 -0700)]
Add simcells.v, simlib.v, and some output

5 years agoAdd #1135 testcase
Eddie Hung [Thu, 27 Jun 2019 18:02:52 +0000 (11:02 -0700)]
Add #1135 testcase

5 years agosynth_xilinx -arch -> -family, consistent with older synth_intel
Eddie Hung [Thu, 27 Jun 2019 14:24:47 +0000 (07:24 -0700)]
synth_xilinx -arch -> -family, consistent with older synth_intel

5 years agoMerge pull request #1142 from YosysHQ/clifford/fix1132
Eddie Hung [Thu, 27 Jun 2019 14:21:31 +0000 (07:21 -0700)]
Merge pull request #1142 from YosysHQ/clifford/fix1132

Fix handling of partial covers in muxcover

5 years agoMerge pull request #1138 from YosysHQ/koriakin/xc7nocarrymux
Eddie Hung [Thu, 27 Jun 2019 13:04:56 +0000 (06:04 -0700)]
Merge pull request #1138 from YosysHQ/koriakin/xc7nocarrymux

synth_xilinx: Add -nocarry and -nowidelut options

5 years agoMerge remote-tracking branch 'origin/clifford/fix1132' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 13:02:45 +0000 (06:02 -0700)]
Merge remote-tracking branch 'origin/clifford/fix1132' into xc7mux

5 years agoRevert muxcover.cc to master
Eddie Hung [Thu, 27 Jun 2019 13:02:25 +0000 (06:02 -0700)]
Revert muxcover.cc to master

5 years agoCopy tests from eddie/fix1132
Eddie Hung [Thu, 27 Jun 2019 13:01:50 +0000 (06:01 -0700)]
Copy tests from eddie/fix1132

5 years agoMerge remote-tracking branch 'upstream/master'
Bogdan Vukobratovic [Thu, 27 Jun 2019 10:11:47 +0000 (12:11 +0200)]
Merge remote-tracking branch 'upstream/master'

5 years agoAdd "pmux2shiftx -norange", fixes #1135
Clifford Wolf [Thu, 27 Jun 2019 08:59:12 +0000 (10:59 +0200)]
Add "pmux2shiftx -norange", fixes #1135

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix handling of partial covers in muxcover, fixes #1132
Clifford Wolf [Thu, 27 Jun 2019 07:42:49 +0000 (09:42 +0200)]
Fix handling of partial covers in muxcover, fixes #1132

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMUXF78 -> $__MUXF78 to indicate internal
Eddie Hung [Thu, 27 Jun 2019 03:09:28 +0000 (20:09 -0700)]
MUXF78 -> $__MUXF78 to indicate internal

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 03:07:31 +0000 (20:07 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoFix spacing
Eddie Hung [Thu, 27 Jun 2019 03:03:34 +0000 (20:03 -0700)]
Fix spacing

5 years agoImprove debugging message for comb loops
Eddie Hung [Thu, 27 Jun 2019 03:02:38 +0000 (20:02 -0700)]
Improve debugging message for comb loops

5 years agoAdd WE to ECP5 dist RAM's abc_scc_break too
Eddie Hung [Thu, 27 Jun 2019 03:02:19 +0000 (20:02 -0700)]
Add WE to ECP5 dist RAM's abc_scc_break too

5 years agoUpdate comment on boxes
Eddie Hung [Thu, 27 Jun 2019 03:00:15 +0000 (20:00 -0700)]
Update comment on boxes

5 years agoAdd "WE" to dist RAM's abc_scc_break
Eddie Hung [Thu, 27 Jun 2019 02:58:09 +0000 (19:58 -0700)]
Add "WE" to dist RAM's abc_scc_break

5 years agoSupport more than one port in the abc_scc_break attr
Eddie Hung [Thu, 27 Jun 2019 02:57:54 +0000 (19:57 -0700)]
Support more than one port in the abc_scc_break attr

5 years agoAdd write_xaiger into CHANGELOG
Eddie Hung [Thu, 27 Jun 2019 02:17:11 +0000 (19:17 -0700)]
Add write_xaiger into CHANGELOG

5 years agosynth_xilinx's muxcover call to be very conservative -- -nodecode
Eddie Hung [Thu, 27 Jun 2019 00:57:10 +0000 (17:57 -0700)]
synth_xilinx's muxcover call to be very conservative -- -nodecode

5 years agoAccidentally removed "simplemap $mux"
Eddie Hung [Thu, 27 Jun 2019 00:48:49 +0000 (17:48 -0700)]
Accidentally removed "simplemap $mux"

5 years agoReplace with <internal options>
Eddie Hung [Thu, 27 Jun 2019 00:42:50 +0000 (17:42 -0700)]
Replace with <internal options>

5 years agoRework help_mode for synth_xilinx -widemux
Eddie Hung [Thu, 27 Jun 2019 00:41:21 +0000 (17:41 -0700)]
Rework help_mode for synth_xilinx -widemux

5 years agoMerge remote-tracking branch 'origin/eddie/fix1132' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 00:34:22 +0000 (17:34 -0700)]
Merge remote-tracking branch 'origin/eddie/fix1132' into xc7mux

5 years agoEmprically (even if I don't fully understand it) this passes picorv32 tb
Eddie Hung [Thu, 27 Jun 2019 00:33:26 +0000 (17:33 -0700)]
Emprically (even if I don't fully understand it) this passes picorv32 tb

5 years agoMerge remote-tracking branch 'origin/eddie/fix1132' into xc7mux
Eddie Hung [Thu, 27 Jun 2019 00:16:11 +0000 (17:16 -0700)]
Merge remote-tracking branch 'origin/eddie/fix1132' into xc7mux

5 years agoRemove redundant check (done further down)
Eddie Hung [Thu, 27 Jun 2019 00:13:56 +0000 (17:13 -0700)]
Remove redundant check (done further down)

5 years agoReturn to upstream synth_xilinx with opt -full and wreduce
Eddie Hung [Wed, 26 Jun 2019 23:25:48 +0000 (16:25 -0700)]
Return to upstream synth_xilinx with opt -full and wreduce

5 years agoMerge remote-tracking branch 'origin/eddie/fix1132' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 23:24:38 +0000 (16:24 -0700)]
Merge remote-tracking branch 'origin/eddie/fix1132' into xc7mux

5 years agoRestore sigmap wrapper
Eddie Hung [Wed, 26 Jun 2019 23:16:44 +0000 (16:16 -0700)]
Restore sigmap wrapper

5 years agoMerge remote-tracking branch 'origin/eddie/fix1132' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 23:07:18 +0000 (16:07 -0700)]
Merge remote-tracking branch 'origin/eddie/fix1132' into xc7mux

5 years agoAdd more tests
Eddie Hung [Wed, 26 Jun 2019 23:07:07 +0000 (16:07 -0700)]
Add more tests

5 years agomuxcover to be undef-sensitive when computing decoders
Eddie Hung [Wed, 26 Jun 2019 23:06:30 +0000 (16:06 -0700)]
muxcover to be undef-sensitive when computing decoders

5 years agoRevert "Rework muxcover decoder gen if more significant muxes are 1'bx"
Eddie Hung [Wed, 26 Jun 2019 22:13:25 +0000 (15:13 -0700)]
Revert "Rework muxcover decoder gen if more significant muxes are 1'bx"

This reverts commit b2b5cf78e21def63c54c080217d77afefba8e4c7.

5 years agoMerge remote-tracking branch 'origin/eddie/fix1132' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 21:48:35 +0000 (14:48 -0700)]
Merge remote-tracking branch 'origin/eddie/fix1132' into xc7mux

5 years agoLet's not go crazy: use nonzero costs
Eddie Hung [Wed, 26 Jun 2019 21:16:44 +0000 (14:16 -0700)]
Let's not go crazy: use nonzero costs

5 years agoRework muxcover decoder gen if more significant muxes are 1'bx
Eddie Hung [Wed, 26 Jun 2019 20:50:19 +0000 (13:50 -0700)]
Rework muxcover decoder gen if more significant muxes are 1'bx

5 years agoAdd tests
Eddie Hung [Wed, 26 Jun 2019 20:49:51 +0000 (13:49 -0700)]
Add tests

5 years agoInstead of blocking wreduce on $mux, use -keepdc instead #1132
Eddie Hung [Wed, 26 Jun 2019 18:48:35 +0000 (11:48 -0700)]
Instead of blocking wreduce on $mux, use -keepdc instead #1132

5 years agoDo not call opt with -full before muxcover
Eddie Hung [Wed, 26 Jun 2019 18:38:28 +0000 (11:38 -0700)]
Do not call opt with -full before muxcover

5 years agoMerge remote-tracking branch 'origin/xaig' into xc7mux
Eddie Hung [Wed, 26 Jun 2019 18:24:39 +0000 (11:24 -0700)]
Merge remote-tracking branch 'origin/xaig' into xc7mux

5 years agoCleanup abc_box_id
Eddie Hung [Wed, 26 Jun 2019 18:23:57 +0000 (11:23 -0700)]
Cleanup abc_box_id

5 years agoMerge branch 'koriakin/xc7nocarrymux' into xaig
Eddie Hung [Wed, 26 Jun 2019 17:47:53 +0000 (10:47 -0700)]
Merge branch 'koriakin/xc7nocarrymux' into xaig

5 years agoGrrr
Eddie Hung [Wed, 26 Jun 2019 17:47:03 +0000 (10:47 -0700)]
Grrr