litex.git
4 years agoMerge pull request #337 from gregdavill/spi-flash
enjoy-digital [Thu, 9 Jan 2020 12:24:17 +0000 (13:24 +0100)]
Merge pull request #337 from gregdavill/spi-flash

soc/cores/spi_flash: Don't tristate DQ2/DQ3 when bitbanging

4 years agosoc/cores/spi_flash: Don't tristate DQ2/DQ3 when bitbanging
Greg Davill [Thu, 9 Jan 2020 11:23:00 +0000 (21:53 +1030)]
soc/cores/spi_flash: Don't tristate DQ2/DQ3 when bitbanging

4 years agoplatforms/minispartan6: rename sd to sdcard and regroup data lines
Florent Kermarrec [Thu, 9 Jan 2020 10:03:17 +0000 (11:03 +0100)]
platforms/minispartan6: rename sd to sdcard and regroup data lines

4 years agoplatforms/nexys4ddr: add sdcard pins
Florent Kermarrec [Thu, 9 Jan 2020 10:00:54 +0000 (11:00 +0100)]
platforms/nexys4ddr: add sdcard pins

4 years agobuild/lattice/trellis: use a single fonction to parse device
Florent Kermarrec [Wed, 8 Jan 2020 18:38:27 +0000 (19:38 +0100)]
build/lattice/trellis: use a single fonction to parse device

4 years agoMerge pull request #336 from kbeckmann/trellis-speed
enjoy-digital [Wed, 8 Jan 2020 18:17:04 +0000 (19:17 +0100)]
Merge pull request #336 from kbeckmann/trellis-speed

trellis: Pass speed argument to nextpnr

4 years agotrellis: Pass speed grade argument to nextpnr
Konrad Beckmann [Tue, 7 Jan 2020 22:15:13 +0000 (23:15 +0100)]
trellis: Pass speed grade argument to nextpnr

4 years agoMerge pull request #331 from betrusted-io/xadc_mods
enjoy-digital [Mon, 6 Jan 2020 17:09:12 +0000 (18:09 +0100)]
Merge pull request #331 from betrusted-io/xadc_mods

WIP: add support for DRP on XADC

4 years agosoc/cores/xadc: define analog_layout and simplify analog_pads connections
Florent Kermarrec [Mon, 6 Jan 2020 15:28:48 +0000 (16:28 +0100)]
soc/cores/xadc: define analog_layout and simplify analog_pads connections

4 years agobring back analog_pads specifier, remove reset conditions on VP
bunnie [Mon, 6 Jan 2020 13:47:58 +0000 (21:47 +0800)]
bring back analog_pads specifier, remove reset conditions on VP

For the "P" side of the analog channels, actually, connecting
a digital line to them has "no meaning". The docs say that
either you connect an analog pin to a pad, or vivado "ties it off
appropriately". I wish it were the case that tying a pin to 0 or 1
would actually connect it to a power or ground, because it means
that even in unipolar mode you have to burn two pins to break out
the signal of interest *and* the ground reference analog pad
(I thought I could just connect it to "0" and the pin would be
grounded, but that doesn't happen -- it's just ignored if it's
not wired to a pad).

For the pad specifier, is it OK to leave it with an optional
argument of analog_pads=None? I tried assigning to the
self.analog property after instantiation, but this doesn't
seem to work, the default values are preferred. It looks like
if you don't want to do the analog_pads= optional argument
the other way to do it would be to add code on the instiating
module that tampers with the properties of the instance directly,
but I think that's sort of ugly.

Also, I noticed you stripped out the layout specifier for
the analog_pads. I thought it would be nice to provide that
in the file, so the caller doesn't have to infer what the
pad layout is by reading the code...what's the motivation for
removing that?

4 years agocpu/minerva: fix variant syntax warning
Florent Kermarrec [Sun, 5 Jan 2020 20:04:13 +0000 (21:04 +0100)]
cpu/minerva: fix variant syntax warning

4 years agosoc/core/xadc: cleanup, simplify and add expose_drp method - keep CSR ordering with...
Florent Kermarrec [Sat, 4 Jan 2020 22:59:04 +0000 (23:59 +0100)]
soc/core/xadc: cleanup, simplify and add expose_drp method - keep CSR ordering with older version, requested for software compatibility. - always enable analog capability (user will just not use it if not needed). - add expose_drp method (similar to clock.py) for cases where DRP is needed.

4 years agoMerge pull request #332 from gsomlo/gls-csr-mem-sel
enjoy-digital [Sat, 4 Jan 2020 18:19:38 +0000 (19:19 +0100)]
Merge pull request #332 from gsomlo/gls-csr-mem-sel

interconnect/csr_bus/SRAM: allow 64-bit alignment (on 64-bit CPUs)

4 years agointerconnect/csr_bus/SRAM: allow 64-bit alignment (on 64-bit CPUs)
Gabriel Somlo [Fri, 3 Jan 2020 21:27:44 +0000 (16:27 -0500)]
interconnect/csr_bus/SRAM: allow 64-bit alignment (on 64-bit CPUs)

Similarly to how CSRBank subregisters are aligned to the CPU word
width (see commit f4770219f), ensure SRAM word_bits are also aligned
to the CPU word width.

Additionally, fix the MMPTR() macro to access CSR subregisters as
CPU word (unsigned long) sized slices.

This fixes the functionality of the 'ident' bios command on 64-bit
CPUs (e.g., Rocket).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agofix a couple bugs in the DRP readout path
bunnie [Fri, 3 Jan 2020 19:03:59 +0000 (03:03 +0800)]
fix a couple bugs in the DRP readout path

I'm now getting data out via DRP. Still some TODOs, but
progress.

4 years agoadd support for DRP on XADC
bunnie [Fri, 3 Jan 2020 16:25:09 +0000 (00:25 +0800)]
add support for DRP on XADC

The design is backward-compatible in functionality for users
who don't want to use DRP. That is, on power on, the XADC will
scan the supply and temperature and store them in CSRs.

If drp_enable is set, the scanning stops, and the XADC is now
controlled by the DRP bus.

Wher drp_enable is reset, the XADC may return to an auto-sample
mode, but only if the internal registers are configured to do this.
If you return to drp_enable without, for example, turning on
the continuous sequence and setting which channels to check,
the results will be unpredictable (mostly either it'll scan just
once and stop, or it'll not scan all the channels, depending on
the register settings).

At this point, the backward compatibility was confirmed in testing,
the DRP API is still a work in progress as the application this
is being developed for needs to support fun stuff like real time
sampling of signals to a buffer.

Down the road, this block may have to be modified again to support an
output FIFO, so we're not railing the CPU trying to do real time
sampling of ADC data. This will probably be added as a True/False flag
of some sort in the parameter list, because the FIFO will be expensive
as far as BRAM goes to implement and applications that don't need the
FIFO buffer can probably use that BRAM for better things.

4 years agocpu/microwatt: reorder sources, add comments
Florent Kermarrec [Fri, 3 Jan 2020 14:29:10 +0000 (15:29 +0100)]
cpu/microwatt: reorder sources, add comments

4 years agobuild/lattice/icestorm: increase similarities with trellis.
Florent Kermarrec [Thu, 2 Jan 2020 09:23:05 +0000 (10:23 +0100)]
build/lattice/icestorm: increase similarities with trellis.

4 years agosoc/integration/soc_core/SoCController: specify initial reset value of scratch regist...
Florent Kermarrec [Thu, 2 Jan 2020 08:41:47 +0000 (09:41 +0100)]
soc/integration/soc_core/SoCController: specify initial reset value of scratch register in description

4 years agosoc/integration/soc_core/SoCController: rephrase CSR descriptions a bit
Florent Kermarrec [Thu, 2 Jan 2020 08:38:23 +0000 (09:38 +0100)]
soc/integration/soc_core/SoCController: rephrase CSR descriptions a bit

4 years agoMerge pull request #330 from xobs/document-ctrl-timer0
enjoy-digital [Thu, 2 Jan 2020 08:26:35 +0000 (09:26 +0100)]
Merge pull request #330 from xobs/document-ctrl-timer0

Document CTRL and fix TIMER0 Documentation

4 years agocores: timer: clean up wording for timer documentation
Sean Cross [Thu, 2 Jan 2020 08:24:12 +0000 (16:24 +0800)]
cores: timer: clean up wording for timer documentation

This fixes some formatting errors with the timer documentation, such as
the lack of a space between the first and second sentences.  It also
fixes some grammar for documentation of various fields.

Signed-off-by: Sean Cross <sean@xobs.io>
4 years agosoc_core: ctrl: document registers
Sean Cross [Thu, 2 Jan 2020 07:37:45 +0000 (15:37 +0800)]
soc_core: ctrl: document registers

This adds a small amount of documentation to the three registers present
inside the `CTRL` module.

Signed-off-by: Sean Cross <sean@xobs.io>
4 years agocores: timer: fix documentation formatting
Sean Cross [Thu, 2 Jan 2020 07:36:35 +0000 (15:36 +0800)]
cores: timer: fix documentation formatting

The ReStructured Text used was not properly formatted, resulting in
confusing and broken output.  This corrects the output and lets it
format correctly when using sphinx.

Signed-off-by: Sean Cross <sean@xobs.io>
4 years agosoc/cores/clock: also allow margin=0 on iCE40PLL and ECP5PLL
Florent Kermarrec [Wed, 1 Jan 2020 12:24:06 +0000 (13:24 +0100)]
soc/cores/clock: also allow margin=0 on iCE40PLL and ECP5PLL

4 years agoMerge pull request #328 from betrusted-io/precise_clocks
enjoy-digital [Wed, 1 Jan 2020 12:20:15 +0000 (13:20 +0100)]
Merge pull request #328 from betrusted-io/precise_clocks

add the possibility for a "precise" clock solution

4 years agoadd the possibility for a "precise" clock solution
bunnie [Wed, 1 Jan 2020 10:49:00 +0000 (18:49 +0800)]
add the possibility for a "precise" clock solution

If clocks and multipliers are planned well, we can have
a zero-error solution for clocks. Suggest to change < to <= in
margin comparison loop, so that a "perfect" solution is allowed
to converge.

4 years agobuild/microsemi/libero_soc: update add_period_constraint behavior when clock is alrea...
Florent Kermarrec [Tue, 31 Dec 2019 09:33:12 +0000 (10:33 +0100)]
build/microsemi/libero_soc: update add_period_constraint behavior when clock is already constrainted.

4 years agobuild/xilinx/vivado: update add_period_constraint behavior when clock is already...
Florent Kermarrec [Tue, 31 Dec 2019 09:32:09 +0000 (10:32 +0100)]
build/xilinx/vivado: update add_period_constraint behavior when clock is already constrainted.

4 years agobuild/lattice/icestorm/add_period_constraint: improve
Florent Kermarrec [Tue, 31 Dec 2019 09:25:51 +0000 (10:25 +0100)]
build/lattice/icestorm/add_period_constraint: improve

- store period in ns.
- pass clocks to_build_pre_pack and do the convertion to MHz there.
- improve error message.

4 years agosoc/integration/builder: avoid try/except on LiteDRAM import, just check if SoC has...
Florent Kermarrec [Tue, 31 Dec 2019 08:58:26 +0000 (09:58 +0100)]
soc/integration/builder: avoid try/except on LiteDRAM import, just check if SoC has an sdram and do the import if so

4 years agoMerge pull request #327 from zakgi/master
enjoy-digital [Tue, 31 Dec 2019 08:49:53 +0000 (09:49 +0100)]
Merge pull request #327 from zakgi/master

moving RAM offsets outside of CSR_ETHMAC define

4 years agoAllow specifying the same clock constraint multiple times.
Tim 'mithro' Ansell [Mon, 30 Dec 2019 18:25:14 +0000 (19:25 +0100)]
Allow specifying the same clock constraint multiple times.

(As long as the clock values actually match.)

4 years agoAllow LiteX builder to be used without LiteDRAM.
Tim 'mithro' Ansell [Mon, 30 Dec 2019 18:24:26 +0000 (19:24 +0100)]
Allow LiteX builder to be used without LiteDRAM.

4 years agoImprove the invalid CPU type error message.
Tim 'mithro' Ansell [Mon, 30 Dec 2019 15:10:57 +0000 (16:10 +0100)]
Improve the invalid CPU type error message.

4 years agobuild/xilinx/programmer: fix vivado_cmd when settings are sourced manually.
Florent Kermarrec [Mon, 30 Dec 2019 09:07:08 +0000 (10:07 +0100)]
build/xilinx/programmer: fix vivado_cmd when settings are sourced manually.

4 years agomoving RAM offsets outside of CSR_ETHMAC define
Giammarco Zacheo [Mon, 30 Dec 2019 06:56:42 +0000 (22:56 -0800)]
moving RAM offsets outside of CSR_ETHMAC define

4 years agoMerge pull request #321 from gsomlo/gls-rocket-aximem-wide
enjoy-digital [Sat, 21 Dec 2019 20:31:04 +0000 (21:31 +0100)]
Merge pull request #321 from gsomlo/gls-rocket-aximem-wide

cpu/rocket: variants with double (128b) and quad (256b) wide mem_axi

4 years agoMerge pull request #319 from DurandA/feature-integer-attributes
enjoy-digital [Sat, 21 Dec 2019 20:30:09 +0000 (21:30 +0100)]
Merge pull request #319 from DurandA/feature-integer-attributes

Add integer attributes

4 years agocpu/rocket: variants with double (128b) and quad (256b) wide mem_axi
Gabriel Somlo [Fri, 29 Nov 2019 23:42:54 +0000 (18:42 -0500)]
cpu/rocket: variants with double (128b) and quad (256b) wide mem_axi

Various development boards' LiteDRAM ports may have native data
widths of either 64 (nexys4ddr), 128 (versa5g), or 256 (trellis)
bits. Add Rocket variants configured with mem_axi ports of matching
data widths, so that a point to point connection between the CPU's
memory port and LiteDRAM can be accomplished without any additional
data width conversion gateware.

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agoMerge pull request #320 from gsomlo/gls-touch-up
enjoy-digital [Sat, 21 Dec 2019 18:40:21 +0000 (19:40 +0100)]
Merge pull request #320 from gsomlo/gls-touch-up

Misc. Rocket and CSR cleanup

4 years agosoc_core: csr_alignment assertions
Gabriel Somlo [Wed, 18 Dec 2019 16:24:11 +0000 (11:24 -0500)]
soc_core: csr_alignment assertions

Enforce the condition that csr_alignment be either 32 or 64 when
requested explicitly when initializing SoCCore().

Additionally, if a CPU is specified, enforce that csr_alignment be
equal to the native CPU word size (currently either 32 or 64), and
warn the caller if an alignment value *higher* than the CPU native
word size was explicitly requested.

In conclusion, if a CPU is specified, then csr_alignment should be
assumed to equal 8*sizeof(unsigned long).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agocpu/rocket: access PLIC registers via pointer dereference
Gabriel Somlo [Sat, 21 Dec 2019 17:59:19 +0000 (12:59 -0500)]
cpu/rocket: access PLIC registers via pointer dereference

Since the PLIC is internal to Rocket, access its registers
directly via pointer dereference, rather than through the
LiteX CSR Bus accessors (which assume subregister slicing,
and are therefore inappropriate for registers NOT accessed
over the LiteX CSR Bus).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agocpu/microwatt: add initial software support
Florent Kermarrec [Fri, 20 Dec 2019 22:32:21 +0000 (23:32 +0100)]
cpu/microwatt: add initial software support

4 years agoAdd integer attributes
Arnaud Durand [Thu, 19 Dec 2019 08:03:12 +0000 (09:03 +0100)]
Add integer attributes

4 years agoRevert "gen/fhdl/verilog: allow single element verilog inline attribute"
Arnaud Durand [Thu, 19 Dec 2019 07:53:44 +0000 (08:53 +0100)]
Revert "gen/fhdl/verilog: allow single element verilog inline attribute"

This reverts commit b845755995a8517d8e0ffa86156fb5577201f7d4.

4 years agocpu/microwatt: add submodule
Florent Kermarrec [Wed, 18 Dec 2019 18:02:30 +0000 (19:02 +0100)]
cpu/microwatt: add submodule

4 years agocpu/microwatt: set csr to 0xc0000000 (IO region)
Florent Kermarrec [Wed, 18 Dec 2019 07:59:35 +0000 (08:59 +0100)]
cpu/microwatt: set csr to 0xc0000000 (IO region)

4 years agocpu/microwatt: fix add_source/add_sources
Florent Kermarrec [Wed, 18 Dec 2019 07:56:36 +0000 (08:56 +0100)]
cpu/microwatt: fix add_source/add_sources

4 years agosoc/cores/pwm: remove debug print(n)
Florent Kermarrec [Wed, 18 Dec 2019 07:46:38 +0000 (08:46 +0100)]
soc/cores/pwm: remove debug print(n)

4 years agoplatforms/netv2: add xc7a100t support
Florent Kermarrec [Tue, 17 Dec 2019 08:47:31 +0000 (09:47 +0100)]
platforms/netv2: add xc7a100t support

4 years agoplatforms/minispartan6: add assert on available devices
Florent Kermarrec [Tue, 17 Dec 2019 08:47:12 +0000 (09:47 +0100)]
platforms/minispartan6: add assert on available devices

4 years agocpu/microwatt: simplify add_sources
Florent Kermarrec [Tue, 17 Dec 2019 08:41:46 +0000 (09:41 +0100)]
cpu/microwatt: simplify add_sources

4 years agocpu/microwatt: add io_regions and gcc_flags
Florent Kermarrec [Tue, 17 Dec 2019 08:33:46 +0000 (09:33 +0100)]
cpu/microwatt: add io_regions and gcc_flags

4 years agocpu/microwatt: update copyright
Florent Kermarrec [Tue, 17 Dec 2019 08:27:19 +0000 (09:27 +0100)]
cpu/microwatt: update copyright

4 years agocpu/microwatt: drive stall signal (no burst support)
Florent Kermarrec [Mon, 16 Dec 2019 11:37:27 +0000 (12:37 +0100)]
cpu/microwatt: drive stall signal (no burst support)

4 years agosoc/cores/pwm: add clock_domain support
Florent Kermarrec [Mon, 16 Dec 2019 10:13:10 +0000 (11:13 +0100)]
soc/cores/pwm: add clock_domain support

4 years agobuild/xilinx/XilinxMultiRegImpl: fix n=0 case
Florent Kermarrec [Mon, 16 Dec 2019 10:12:38 +0000 (11:12 +0100)]
build/xilinx/XilinxMultiRegImpl: fix n=0 case

4 years agobuild/xilinx/ise: cleanup/simplify pass, remove mist support (not aware of anyone...
Florent Kermarrec [Sat, 14 Dec 2019 21:47:07 +0000 (22:47 +0100)]
build/xilinx/ise: cleanup/simplify pass, remove mist support (not aware of anyone using it)

4 years agosoc/cores/cpu: add initial Microwatt gateware support
Florent Kermarrec [Fri, 13 Dec 2019 22:58:14 +0000 (23:58 +0100)]
soc/cores/cpu: add initial Microwatt gateware support

Implementation tested on arty:
cd litex/soc/cores/cpu/microwatt
git clone https://github.com/antonblanchard/microwatt
mv microwatt sources

cd litex/boards/targets
./arty --cpu-type=microwatt --no-compile-gateware

4 years agosoc/cores/cpu/minerva: add self.reset to i_rst
Florent Kermarrec [Fri, 13 Dec 2019 22:44:07 +0000 (23:44 +0100)]
soc/cores/cpu/minerva: add self.reset to i_rst

4 years agoMerge pull request #315 from gsomlo/gls-csr-assert
enjoy-digital [Fri, 13 Dec 2019 20:57:14 +0000 (21:57 +0100)]
Merge pull request #315 from gsomlo/gls-csr-assert

soc_core: additional CSR safety assertions

4 years agosoc_core: additional CSR safety assertions
Gabriel Somlo [Thu, 12 Dec 2019 14:02:47 +0000 (09:02 -0500)]
soc_core: additional CSR safety assertions

Since csr_data_width=64 has probably never worked properly, remove
it as one of the possible options (to be fixed and re-added later).
Add csr_data_width=16, which has been tested and does work.

Additionally, ensure csr_data_width <= csr_alignment (we should not
attempt to create (sub)registers larger than the CPU's native word
size or XLen).

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
4 years agosoc_core: remove static 16MB csr region allocation (use csr_address_width to allocate...
Florent Kermarrec [Thu, 12 Dec 2019 11:41:25 +0000 (12:41 +0100)]
soc_core: remove static 16MB csr region allocation (use csr_address_width to allocate the correct size)

4 years agosoc_core: add sort of CSR regions by origin (allow csr.h/csr.csv to be ordered by...
Florent Kermarrec [Thu, 12 Dec 2019 10:27:56 +0000 (11:27 +0100)]
soc_core: add sort of CSR regions by origin (allow csr.h/csr.csv to be ordered by origin)

4 years agocores/8b10b: use real Memory for 6b5b table (to improve timings on ECP5)
Florent Kermarrec [Mon, 9 Dec 2019 18:25:38 +0000 (19:25 +0100)]
cores/8b10b: use real Memory for 6b5b table (to improve timings on ECP5)

4 years agobuild/xilinx/vivado: move build_script generation
Florent Kermarrec [Sun, 8 Dec 2019 11:19:38 +0000 (12:19 +0100)]
build/xilinx/vivado: move build_script generation

4 years agobuild/xilinx/vivado: cleanup/simplify
Florent Kermarrec [Sun, 8 Dec 2019 11:08:17 +0000 (12:08 +0100)]
build/xilinx/vivado: cleanup/simplify

4 years agobuild/lattice/icestorm: cleanup/simplify (and remove arachne-pnr support)
Florent Kermarrec [Sat, 7 Dec 2019 20:43:15 +0000 (21:43 +0100)]
build/lattice/icestorm: cleanup/simplify (and remove arachne-pnr support)

4 years agobuild/xilinx/common/platform/programmer: cleanup pass
Florent Kermarrec [Fri, 6 Dec 2019 21:04:36 +0000 (22:04 +0100)]
build/xilinx/common/platform/programmer: cleanup pass

4 years agoboards: add Lambdaconcept's PCIe Screamer (R02)
Florent Kermarrec [Fri, 6 Dec 2019 17:20:59 +0000 (18:20 +0100)]
boards: add Lambdaconcept's PCIe Screamer (R02)

4 years agotargets/versa_ecp5: fix compilation with diamond
Florent Kermarrec [Fri, 6 Dec 2019 15:15:08 +0000 (16:15 +0100)]
targets/versa_ecp5: fix compilation with diamond

4 years agoboards/targets: keep attributes are no longer needed since automatically added when...
Florent Kermarrec [Fri, 6 Dec 2019 14:58:06 +0000 (15:58 +0100)]
boards/targets: keep attributes are no longer needed since automatically added when applying constraints to signals.

4 years agobuild: automatically add keep attribute to signals with timing constraints.
Florent Kermarrec [Fri, 6 Dec 2019 14:41:15 +0000 (15:41 +0100)]
build: automatically add keep attribute to signals with timing constraints.

Avoid having to specify it manually or eventually forget to do it and have a constraints that is not applied correctly.

4 years agobuild/altera/quartus: allow adding period constraints on nets and add optional additi...
Florent Kermarrec [Fri, 6 Dec 2019 14:16:21 +0000 (15:16 +0100)]
build/altera/quartus: allow adding period constraints on nets and add optional additional sdc/qsf commands

Additional sdc/qsf commands can be added from the design like:
platform.sdc_additional_commands.append("create_clock ...")
platform.sdc_additional_commands.append("set_false_path ...")

4 years agobuild/lattice/trellis: nextpnr now handle LPF timing constraints and multiple clock...
Florent Kermarrec [Fri, 6 Dec 2019 11:57:59 +0000 (12:57 +0100)]
build/lattice/trellis: nextpnr now handle LPF timing constraints and multiple clock domains, freq_constraint is no longer needed.

4 years agobuild/lattice: cleanup/simplify (no functional changes)
Florent Kermarrec [Fri, 6 Dec 2019 11:51:50 +0000 (12:51 +0100)]
build/lattice: cleanup/simplify (no functional changes)

icestorm still need to be cleaned up

4 years agobuild/lattice: cleanup/simplify
Florent Kermarrec [Fri, 6 Dec 2019 11:13:20 +0000 (12:13 +0100)]
build/lattice: cleanup/simplify

4 years agobuild/microsemi: cleanup/simplify (no functional change)
Florent Kermarrec [Fri, 6 Dec 2019 10:14:57 +0000 (11:14 +0100)]
build/microsemi: cleanup/simplify (no functional change)

4 years agobuild/altera: cleanup/simplify (no functional change)
Florent Kermarrec [Fri, 6 Dec 2019 08:29:48 +0000 (09:29 +0100)]
build/altera: cleanup/simplify (no functional change)

Altera build backend was a bit messy and needed some cleanup to ease future maintenance and new features.

4 years agoMerge pull request #313 from mmicko/yosys_ise_flow_fix
Tim Ansell [Fri, 6 Dec 2019 03:05:44 +0000 (19:05 -0800)]
Merge pull request #313 from mmicko/yosys_ise_flow_fix

Yosys - ISE flow fix

4 years agobuild/xilinx/vivado: use VHDL 2008 as default
Florent Kermarrec [Tue, 3 Dec 2019 14:27:20 +0000 (15:27 +0100)]
build/xilinx/vivado: use VHDL 2008 as default

4 years agotargets/nexys4ddr: remove MEMTEST_ADDR_SIZE limitation (no longer needed)
Florent Kermarrec [Tue, 3 Dec 2019 09:11:15 +0000 (10:11 +0100)]
targets/nexys4ddr: remove MEMTEST_ADDR_SIZE limitation (no longer needed)

4 years agotargets/netv2: switch to MVP DDR3 (K4B2G1646F instead of MT41J128M16)
Florent Kermarrec [Tue, 3 Dec 2019 08:05:52 +0000 (09:05 +0100)]
targets/netv2: switch to MVP DDR3 (K4B2G1646F instead of MT41J128M16)

4 years agotargets: uniformize, improve presentation
Florent Kermarrec [Tue, 3 Dec 2019 07:58:01 +0000 (08:58 +0100)]
targets: uniformize, improve presentation

4 years agoREADME: fix LitePCIe Travis-CI link
Florent Kermarrec [Mon, 2 Dec 2019 10:03:42 +0000 (11:03 +0100)]
README: fix LitePCIe Travis-CI link

4 years agosoc/interconnect/csr: add fields support for CSRStorage's write simulation method
Florent Kermarrec [Mon, 2 Dec 2019 08:44:44 +0000 (09:44 +0100)]
soc/interconnect/csr: add fields support for CSRStorage's write simulation method

4 years agosoc/cores/gpio: add GPIO Tristate
Florent Kermarrec [Sun, 1 Dec 2019 20:26:37 +0000 (21:26 +0100)]
soc/cores/gpio: add GPIO Tristate

4 years agosetup.py: update long_description
Florent Kermarrec [Sat, 30 Nov 2019 18:30:50 +0000 (19:30 +0100)]
setup.py: update long_description

4 years agoREADME.md: use litex logo
Florent Kermarrec [Sat, 30 Nov 2019 18:23:34 +0000 (19:23 +0100)]
README.md: use litex logo

4 years agoREADME: switch to Markdown
Florent Kermarrec [Sat, 30 Nov 2019 18:18:04 +0000 (19:18 +0100)]
README: switch to Markdown

4 years agoMerge pull request #311 from kbeckmann/trellis_cabga256
Tim Ansell [Sat, 30 Nov 2019 03:05:56 +0000 (19:05 -0800)]
Merge pull request #311 from kbeckmann/trellis_cabga256

trellis: Support the CABGA256 package

4 years agotrellis: Support the CABGA256 package
Konrad Beckmann [Sat, 30 Nov 2019 01:38:16 +0000 (02:38 +0100)]
trellis: Support the CABGA256 package

4 years agoProperly select family for those currently supported
Miodrag Milanovic [Fri, 29 Nov 2019 18:11:22 +0000 (19:11 +0100)]
Properly select family for those currently supported

4 years agoIntegrate with latest yosys changes
Miodrag Milanovic [Fri, 29 Nov 2019 16:12:08 +0000 (17:12 +0100)]
Integrate with latest yosys changes

4 years agoMerge pull request #310 from xobs/spi-flash-mode3-doc
enjoy-digital [Mon, 25 Nov 2019 20:01:17 +0000 (21:01 +0100)]
Merge pull request #310 from xobs/spi-flash-mode3-doc

spi_flash: correct documentation on SPI mode

4 years agospi_flash: correct documentation on SPI mode
Sean Cross [Mon, 25 Nov 2019 04:33:56 +0000 (12:33 +0800)]
spi_flash: correct documentation on SPI mode

The SPI mode is actually mode3, since the output value is updated on the
falling edge of CLK and the input value is updated on the rising edge.

This also clarifies some of the documentation based on experience with
the core.

Signed-off-by: Sean Cross <sean@xobs.io>
4 years agotools/remote/comm_udp: only use one socket
Florent Kermarrec [Fri, 22 Nov 2019 14:28:35 +0000 (15:28 +0100)]
tools/remote/comm_udp: only use one socket

4 years agobuild/generic_platform: avoid duplicate in GenericPlatform.sources
Florent Kermarrec [Fri, 22 Nov 2019 14:28:07 +0000 (15:28 +0100)]
build/generic_platform: avoid duplicate in GenericPlatform.sources

4 years agosoc/cores/clock: change drp_locked to CSRStatus and connect it :)
Florent Kermarrec [Wed, 20 Nov 2019 18:36:51 +0000 (19:36 +0100)]
soc/cores/clock: change drp_locked to CSRStatus and connect it :)