yosys.git
5 years agoPut attributes above port
Eddie Hung [Fri, 23 Aug 2019 18:31:20 +0000 (11:31 -0700)]
Put attributes above port

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 23 Aug 2019 18:26:55 +0000 (11:26 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoForgot one
Eddie Hung [Fri, 23 Aug 2019 18:23:50 +0000 (11:23 -0700)]
Forgot one

5 years agoPut abc_* attributes above port
Eddie Hung [Fri, 23 Aug 2019 18:21:44 +0000 (11:21 -0700)]
Put abc_* attributes above port

5 years agoMerge pull request #1326 from mmicko/doc-update
Eddie Hung [Fri, 23 Aug 2019 16:12:58 +0000 (09:12 -0700)]
Merge pull request #1326 from mmicko/doc-update

Make macOS dependency clear

5 years agoMake macOS depenency clear
Miodrag Milanovic [Fri, 23 Aug 2019 08:37:50 +0000 (10:37 +0200)]
Make macOS depenency clear

5 years agoDo not propagate mem2reg attribute through to result
Eddie Hung [Thu, 22 Aug 2019 23:57:59 +0000 (16:57 -0700)]
Do not propagate mem2reg attribute through to result

5 years agoSpelling
Eddie Hung [Thu, 22 Aug 2019 21:20:03 +0000 (14:20 -0700)]
Spelling

5 years agoMerge pull request #1322 from mmicko/pyosys_osx
Eddie Hung [Thu, 22 Aug 2019 18:53:27 +0000 (11:53 -0700)]
Merge pull request #1322 from mmicko/pyosys_osx

do not require boost if pyosys is not used

5 years agodo not require boost if pyosys is not used
Miodrag Milanovic [Thu, 22 Aug 2019 18:43:52 +0000 (20:43 +0200)]
do not require boost if pyosys is not used

5 years agoMerge pull request #1319 from TeaEngineering/shuckc/brew-tcl-tk
Eddie Hung [Thu, 22 Aug 2019 18:32:44 +0000 (11:32 -0700)]
Merge pull request #1319 from TeaEngineering/shuckc/brew-tcl-tk

require tcl-tk in Brewfile

5 years agoMerge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx
Eddie Hung [Thu, 22 Aug 2019 17:31:27 +0000 (10:31 -0700)]
Merge pull request #1317 from YosysHQ/eddie/opt_expr_shiftx

 opt_expr to trim A port of $shiftx/$shift

5 years agoBump year in copyright notice
Clifford Wolf [Thu, 22 Aug 2019 16:43:16 +0000 (18:43 +0200)]
Bump year in copyright notice

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix missing newline at end of file
Clifford Wolf [Thu, 22 Aug 2019 16:09:37 +0000 (18:09 +0200)]
Fix missing newline at end of file

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1289 from mmicko/anlogic_fixes
Clifford Wolf [Thu, 22 Aug 2019 16:09:10 +0000 (18:09 +0200)]
Merge pull request #1289 from mmicko/anlogic_fixes

Anlogic fixes and optimization

5 years agoFix missing newline at end of file
Clifford Wolf [Thu, 22 Aug 2019 16:06:36 +0000 (18:06 +0200)]
Fix missing newline at end of file

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1281 from mmicko/efinix
Clifford Wolf [Thu, 22 Aug 2019 16:06:02 +0000 (18:06 +0200)]
Merge pull request #1281 from mmicko/efinix

Initial support for Efinix Trion series FPGAs

5 years agoCopy-paste typo
Eddie Hung [Thu, 22 Aug 2019 15:43:44 +0000 (08:43 -0700)]
Copy-paste typo

5 years agorequire tcl-tk in Brewfile
Chris Shucksmith [Thu, 22 Aug 2019 15:37:40 +0000 (16:37 +0100)]
require tcl-tk in Brewfile

5 years agoRespect opt_expr -keepdc as per @cliffordwolf
Eddie Hung [Thu, 22 Aug 2019 15:37:27 +0000 (08:37 -0700)]
Respect opt_expr -keepdc as per @cliffordwolf

5 years agoHandle $shift and Y_WIDTH > 1 as per @cliffordwolf
Eddie Hung [Thu, 22 Aug 2019 15:22:23 +0000 (08:22 -0700)]
Handle $shift and Y_WIDTH > 1 as per @cliffordwolf

5 years agoAdd cover()
Eddie Hung [Thu, 22 Aug 2019 15:06:24 +0000 (08:06 -0700)]
Add cover()

5 years agoCanonical form
Eddie Hung [Thu, 22 Aug 2019 15:05:01 +0000 (08:05 -0700)]
Canonical form

5 years agoMerge pull request #1316 from YosysHQ/eddie/fix_mem2reg
Clifford Wolf [Thu, 22 Aug 2019 08:24:42 +0000 (10:24 +0200)]
Merge pull request #1316 from YosysHQ/eddie/fix_mem2reg

mem2reg to preserve user attributes and src

5 years agoAdd test
Eddie Hung [Thu, 22 Aug 2019 04:58:20 +0000 (21:58 -0700)]
Add test

5 years agoopt_expr to trim A port of $shiftx if Y_WIDTH == 1
Eddie Hung [Thu, 22 Aug 2019 02:18:05 +0000 (19:18 -0700)]
opt_expr to trim A port of $shiftx if Y_WIDTH == 1

5 years agoMerge pull request #1315 from mmicko/fix_dependencies
whitequark [Wed, 21 Aug 2019 21:40:31 +0000 (21:40 +0000)]
Merge pull request #1315 from mmicko/fix_dependencies

Fix test_pmgen deps

5 years agomem2reg to preserve user attributes and src
Eddie Hung [Wed, 21 Aug 2019 20:36:01 +0000 (13:36 -0700)]
mem2reg to preserve user attributes and src

5 years agoUse semicolon
Eddie Hung [Wed, 21 Aug 2019 18:47:17 +0000 (11:47 -0700)]
Use semicolon

5 years agotechmap before read
Eddie Hung [Wed, 21 Aug 2019 18:47:06 +0000 (11:47 -0700)]
techmap before read

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Wed, 21 Aug 2019 18:39:20 +0000 (11:39 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Wed, 21 Aug 2019 18:39:14 +0000 (11:39 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoOutput "h" extension only if boxes
Eddie Hung [Wed, 21 Aug 2019 18:31:18 +0000 (11:31 -0700)]
Output "h" extension only if boxes

5 years agoRevert "Fix omode which inserts an output if none exists (otherwise abc9 breaks)"
Eddie Hung [Wed, 21 Aug 2019 18:29:40 +0000 (11:29 -0700)]
Revert "Fix omode which inserts an output if none exists (otherwise abc9 breaks)"

This reverts commit 8182cb9d91555d5be52abbfeeb5d22af05342d8a.

5 years agoAdd abc_arrival to SRL*
Eddie Hung [Wed, 21 Aug 2019 18:27:42 +0000 (11:27 -0700)]
Add abc_arrival to SRL*

5 years agoFix test_pmgen deps
Miodrag Milanovic [Wed, 21 Aug 2019 15:00:24 +0000 (17:00 +0200)]
Fix test_pmgen deps

5 years agoMerge pull request #1314 from YosysHQ/eddie/fix_techmap
Clifford Wolf [Wed, 21 Aug 2019 07:12:56 +0000 (09:12 +0200)]
Merge pull request #1314 from YosysHQ/eddie/fix_techmap

techmap -max_iter to apply to each module individually

5 years agoFix omode which inserts an output if none exists (otherwise abc9 breaks)
Eddie Hung [Wed, 21 Aug 2019 04:30:16 +0000 (21:30 -0700)]
Fix omode which inserts an output if none exists (otherwise abc9 breaks)

5 years agoRevert "Only xaig if GetSize(output_bits) > 0"
Eddie Hung [Wed, 21 Aug 2019 04:22:38 +0000 (21:22 -0700)]
Revert "Only xaig if GetSize(output_bits) > 0"

This reverts commit 7b646101e936cacd20938c20ddfbaa63ee268fb2.

5 years agoOnly xaig if GetSize(output_bits) > 0
Eddie Hung [Wed, 21 Aug 2019 03:57:13 +0000 (20:57 -0700)]
Only xaig if GetSize(output_bits) > 0

5 years agoMissing newline
Eddie Hung [Wed, 21 Aug 2019 03:37:52 +0000 (20:37 -0700)]
Missing newline

5 years agoFix copy-paste typo
Eddie Hung [Wed, 21 Aug 2019 03:18:51 +0000 (20:18 -0700)]
Fix copy-paste typo

5 years agoOops
Eddie Hung [Wed, 21 Aug 2019 03:07:38 +0000 (20:07 -0700)]
Oops

5 years agoMerge branch 'eddie/fix_techmap' into xaig_arrival
Eddie Hung [Wed, 21 Aug 2019 03:06:47 +0000 (20:06 -0700)]
Merge branch 'eddie/fix_techmap' into xaig_arrival

5 years agoGrammar
Eddie Hung [Wed, 21 Aug 2019 03:05:51 +0000 (20:05 -0700)]
Grammar

5 years agoAdd test
Eddie Hung [Wed, 21 Aug 2019 03:05:16 +0000 (20:05 -0700)]
Add test

5 years agotechmap -max_iter to apply to each module individually
Eddie Hung [Wed, 21 Aug 2019 02:48:16 +0000 (19:48 -0700)]
techmap -max_iter to apply to each module individually

5 years agotechmap -max_iter to apply to each module individually
Eddie Hung [Wed, 21 Aug 2019 02:48:16 +0000 (19:48 -0700)]
techmap -max_iter to apply to each module individually

5 years agoxilinx to use abc_map.v with -max_iter 1
Eddie Hung [Wed, 21 Aug 2019 02:47:11 +0000 (19:47 -0700)]
xilinx to use abc_map.v with -max_iter 1

5 years agoecp5: remove DPR16X4 from abc_unmap.v
Eddie Hung [Wed, 21 Aug 2019 02:20:17 +0000 (19:20 -0700)]
ecp5: remove DPR16X4 from abc_unmap.v

5 years agoecp5 to use -max_iter 1
Eddie Hung [Wed, 21 Aug 2019 02:18:36 +0000 (19:18 -0700)]
ecp5 to use -max_iter 1

5 years agoecp5 to use abc_map.v and _unmap.v
Eddie Hung [Wed, 21 Aug 2019 01:59:03 +0000 (18:59 -0700)]
ecp5 to use abc_map.v and _unmap.v

5 years agoAdd (* abc_arrival=<int> *) doc
Eddie Hung [Wed, 21 Aug 2019 01:27:16 +0000 (18:27 -0700)]
Add (* abc_arrival=<int> *) doc

5 years agoAdd reference to FD* timing
Eddie Hung [Wed, 21 Aug 2019 01:22:58 +0000 (18:22 -0700)]
Add reference to FD* timing

5 years agoRemove sequential extension
Eddie Hung [Wed, 21 Aug 2019 01:16:37 +0000 (18:16 -0700)]
Remove sequential extension

5 years agoRemove SRL* delays from cells_sim.v
Eddie Hung [Wed, 21 Aug 2019 01:14:40 +0000 (18:14 -0700)]
Remove SRL* delays from cells_sim.v

5 years agoretime_mode -> dff_mode
Eddie Hung [Wed, 21 Aug 2019 01:08:58 +0000 (18:08 -0700)]
retime_mode -> dff_mode

5 years agoLUTMUX -> LUTMUX6
Eddie Hung [Wed, 21 Aug 2019 01:08:07 +0000 (18:08 -0700)]
LUTMUX -> LUTMUX6

5 years agoCleanup techmap in map_luts
Eddie Hung [Wed, 21 Aug 2019 00:59:31 +0000 (17:59 -0700)]
Cleanup techmap in map_luts

5 years agoMove `techmap abc_map.v` into map_luts
Eddie Hung [Wed, 21 Aug 2019 00:55:12 +0000 (17:55 -0700)]
Move `techmap abc_map.v` into map_luts

5 years agoRemove delays from abc_map.v
Eddie Hung [Wed, 21 Aug 2019 00:52:27 +0000 (17:52 -0700)]
Remove delays from abc_map.v

5 years agoTypo
Eddie Hung [Wed, 21 Aug 2019 00:51:50 +0000 (17:51 -0700)]
Typo

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Wed, 21 Aug 2019 00:36:14 +0000 (17:36 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoDo not sigmap!
Eddie Hung [Tue, 20 Aug 2019 22:23:26 +0000 (15:23 -0700)]
Do not sigmap!

5 years agoDeprecate `abc_scc_break` attribute
Eddie Hung [Tue, 20 Aug 2019 22:10:01 +0000 (15:10 -0700)]
Deprecate `abc_scc_break` attribute

5 years agoWrap SRL{16,32} too
Eddie Hung [Tue, 20 Aug 2019 22:09:38 +0000 (15:09 -0700)]
Wrap SRL{16,32} too

5 years agoWrap LUTRAMs in order to capture comb/seq behaviour
Eddie Hung [Tue, 20 Aug 2019 21:49:11 +0000 (14:49 -0700)]
Wrap LUTRAMs in order to capture comb/seq behaviour

5 years agoMinor refactor
Eddie Hung [Tue, 20 Aug 2019 21:47:58 +0000 (14:47 -0700)]
Minor refactor

5 years agoAdd LUTRAM delays
Eddie Hung [Tue, 20 Aug 2019 20:53:38 +0000 (13:53 -0700)]
Add LUTRAM delays

5 years agoFix use of {CLK,EN}_POLARITY, also add a FIXME
Eddie Hung [Tue, 20 Aug 2019 20:33:31 +0000 (13:33 -0700)]
Fix use of {CLK,EN}_POLARITY, also add a FIXME

5 years agoRemove mapping rules
Eddie Hung [Tue, 20 Aug 2019 20:11:39 +0000 (13:11 -0700)]
Remove mapping rules

5 years agoMerge pull request #1209 from YosysHQ/eddie/synth_xilinx
Eddie Hung [Tue, 20 Aug 2019 19:55:26 +0000 (12:55 -0700)]
Merge pull request #1209 from YosysHQ/eddie/synth_xilinx

[WIP] synth xilinx renaming, as per #1184

5 years agoRemove -icells
Eddie Hung [Tue, 20 Aug 2019 19:41:11 +0000 (12:41 -0700)]
Remove -icells

5 years agoUse abc_{map,unmap,model}.v
Eddie Hung [Tue, 20 Aug 2019 19:39:11 +0000 (12:39 -0700)]
Use abc_{map,unmap,model}.v

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Tue, 20 Aug 2019 19:00:12 +0000 (12:00 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
Eddie Hung [Tue, 20 Aug 2019 18:59:31 +0000 (11:59 -0700)]
Merge pull request #1304 from YosysHQ/eddie/abc9_refactor

Refactor abc9 to use port attributes, not module attributes

5 years agoMerge remote-tracking branch 'origin/master' into eddie/synth_xilinx
Eddie Hung [Tue, 20 Aug 2019 18:57:52 +0000 (11:57 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx

5 years agoMerge pull request #1298 from YosysHQ/clifford/pmgen
Clifford Wolf [Tue, 20 Aug 2019 09:39:42 +0000 (11:39 +0200)]
Merge pull request #1298 from YosysHQ/clifford/pmgen

Improvements in pmgen

5 years agoMerge branch 'master' into clifford/pmgen
Clifford Wolf [Tue, 20 Aug 2019 09:39:23 +0000 (11:39 +0200)]
Merge branch 'master' into clifford/pmgen

5 years agoAdd test case for real parameters
Clifford Wolf [Tue, 20 Aug 2019 09:38:21 +0000 (11:38 +0200)]
Add test case for real parameters

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1308 from jakobwenzel/real_params
Clifford Wolf [Tue, 20 Aug 2019 09:37:26 +0000 (11:37 +0200)]
Merge pull request #1308 from jakobwenzel/real_params

Handle real values when deriving ast modules

5 years agoMerge pull request #1309 from whitequark/proc_clean-fix-1268
whitequark [Tue, 20 Aug 2019 00:45:41 +0000 (00:45 +0000)]
Merge pull request #1309 from whitequark/proc_clean-fix-1268

proc_clean: fix order of switch insertion

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 19 Aug 2019 22:19:32 +0000 (15:19 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoAdd arrival times for SRL outputs
Eddie Hung [Mon, 19 Aug 2019 22:15:43 +0000 (15:15 -0700)]
Add arrival times for SRL outputs

5 years agoOutput i/o/h extensions even if no boxes or flops
Eddie Hung [Mon, 19 Aug 2019 20:17:31 +0000 (13:17 -0700)]
Output i/o/h extensions even if no boxes or flops

5 years agoAdd BRAM arrival times
Eddie Hung [Mon, 19 Aug 2019 19:46:35 +0000 (12:46 -0700)]
Add BRAM arrival times

5 years agoRemove debug
Eddie Hung [Mon, 19 Aug 2019 19:44:43 +0000 (12:44 -0700)]
Remove debug

5 years agoAdd reference to source of Tclktoq timing
Eddie Hung [Mon, 19 Aug 2019 19:39:22 +0000 (12:39 -0700)]
Add reference to source of Tclktoq timing

5 years agoAdd (* abc_arrival *) attribute
Eddie Hung [Mon, 19 Aug 2019 19:33:24 +0000 (12:33 -0700)]
Add (* abc_arrival *) attribute

5 years ago Add 'abc_arrival' attribute for flop outputs
Eddie Hung [Mon, 19 Aug 2019 18:32:18 +0000 (11:32 -0700)]
 Add 'abc_arrival' attribute for flop outputs

5 years agoUpdate box timings
Eddie Hung [Mon, 19 Aug 2019 18:31:40 +0000 (11:31 -0700)]
Update box timings

5 years agoMove from cell attr to module attr
Eddie Hung [Mon, 19 Aug 2019 18:18:33 +0000 (11:18 -0700)]
Move from cell attr to module attr

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:42:00 +0000 (10:42 -0700)]
Fix typo

5 years agoFix typo
Eddie Hung [Mon, 19 Aug 2019 17:41:18 +0000 (10:41 -0700)]
Fix typo

5 years agoID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc
Eddie Hung [Mon, 19 Aug 2019 17:11:47 +0000 (10:11 -0700)]
ID({A,B,Y}) -> ID::{A,B,Y} for opt_share.cc

5 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 19 Aug 2019 17:07:27 +0000 (10:07 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_dff

5 years agoClarify with 'only'
Eddie Hung [Mon, 19 Aug 2019 17:00:53 +0000 (10:00 -0700)]
Clarify with 'only'

5 years agoUpdate doc
Eddie Hung [Mon, 19 Aug 2019 16:59:57 +0000 (09:59 -0700)]
Update doc

5 years agoUnify abc_carry_{in,out} into abc_carry and use port dir, as @mithro
Eddie Hung [Mon, 19 Aug 2019 16:56:17 +0000 (09:56 -0700)]
Unify abc_carry_{in,out} into abc_carry and use port dir, as @mithro

5 years agoUse attributes instead of params
Eddie Hung [Mon, 19 Aug 2019 16:51:49 +0000 (09:51 -0700)]
Use attributes instead of params