yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Thu, 5 Sep 2019 20:01:27 +0000 (13:01 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

5 years agoDo not check signedness of post-adder (assume taken care of by DSP)
Eddie Hung [Thu, 5 Sep 2019 19:38:47 +0000 (12:38 -0700)]
Do not check signedness of post-adder (assume taken care of by DSP)

5 years agoMerge pull request #1312 from YosysHQ/xaig_arrival
Eddie Hung [Thu, 5 Sep 2019 19:00:23 +0000 (12:00 -0700)]
Merge pull request #1312 from YosysHQ/xaig_arrival

Allow arrival times of sequential outputs to be specified to abc9

5 years agoUse filter instead of index; support wide enable muxes
Eddie Hung [Thu, 5 Sep 2019 18:55:14 +0000 (11:55 -0700)]
Use filter instead of index; support wide enable muxes

5 years agoDo not make ff[MP]mux semioptional, use sigmap
Eddie Hung [Thu, 5 Sep 2019 18:46:38 +0000 (11:46 -0700)]
Do not make ff[MP]mux semioptional, use sigmap

5 years agoAdd support for CEP
Eddie Hung [Thu, 5 Sep 2019 18:00:27 +0000 (11:00 -0700)]
Add support for CEP

5 years agoAdd support for CEB, remove check on nusers
Eddie Hung [Thu, 5 Sep 2019 17:46:33 +0000 (10:46 -0700)]
Add support for CEB, remove check on nusers

5 years agoCleanup
Eddie Hung [Thu, 5 Sep 2019 17:07:56 +0000 (10:07 -0700)]
Cleanup

5 years agoSupport CEA
Eddie Hung [Thu, 5 Sep 2019 17:07:26 +0000 (10:07 -0700)]
Support CEA

5 years agoBump version
Clifford Wolf [Thu, 5 Sep 2019 17:05:13 +0000 (19:05 +0200)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1350 from YosysHQ/clifford/fixsby59
Clifford Wolf [Thu, 5 Sep 2019 16:14:28 +0000 (18:14 +0200)]
Merge pull request #1350 from YosysHQ/clifford/fixsby59

Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"

5 years agoMerge pull request #1330 from YosysHQ/clifford/fix1145
Clifford Wolf [Thu, 5 Sep 2019 16:10:40 +0000 (18:10 +0200)]
Merge pull request #1330 from YosysHQ/clifford/fix1145

Add flatten handling of pre-existing wires as created by interfaces

5 years agoUpdate README.md
Clifford Wolf [Thu, 5 Sep 2019 15:20:29 +0000 (17:20 +0200)]
Update README.md

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes #1220
Clifford Wolf [Thu, 5 Sep 2019 11:51:53 +0000 (13:51 +0200)]
Rename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes #1220

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd flatten handling of pre-existing wires as created by interfaces, fixes #1145
Clifford Wolf [Mon, 26 Aug 2019 22:55:43 +0000 (00:55 +0200)]
Add flatten handling of pre-existing wires as created by interfaces, fixes #1145

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoGet rid of sigBset too
Eddie Hung [Thu, 5 Sep 2019 00:22:02 +0000 (17:22 -0700)]
Get rid of sigBset too

5 years agoMerge pull request #1356 from emilazy/fix-makefile-shell
whitequark [Thu, 5 Sep 2019 00:20:47 +0000 (00:20 +0000)]
Merge pull request #1356 from emilazy/fix-makefile-shell

Use $(shell :; ...) in Makefile to force shell

5 years agoGet rid of sigAset
Eddie Hung [Thu, 5 Sep 2019 00:18:49 +0000 (17:18 -0700)]
Get rid of sigAset

5 years agoGet rid of sigPused
Eddie Hung [Thu, 5 Sep 2019 00:06:17 +0000 (17:06 -0700)]
Get rid of sigPused

5 years agoCompute sigP properly
Eddie Hung [Wed, 4 Sep 2019 23:59:57 +0000 (16:59 -0700)]
Compute sigP properly

5 years agoUse $(shell :; ...) in Makefile to force shell
Emily [Wed, 4 Sep 2019 23:30:29 +0000 (00:30 +0100)]
Use $(shell :; ...) in Makefile to force shell

Did you think that `$(shell command -v ...)` would actually get run by
the shell? Foolish mortal; GNU Make is obviously far more wise than
thee, as it optimizes it to a direct -- and hence broken (since
`command` is a shell builtin) -- exec. This horrifying contortion
ensures that an actual shell runs the command and fixes the behaviour.

@Shizmob found the source of this misbehaviour; turns out gmake has a
hard-coded, incomplete list of shell builtins:

    https://github.com/mirror/make/blob/715c787dc69bac37827a7d6ea6d40a86c55b5583/src/job.c#L2691

This contains `command`, but the whole function is full of horrible
heuristic garbage so who knows. I'm so sorry.

5 years agoResolve TODO with pin assignments for SRL*
Eddie Hung [Wed, 4 Sep 2019 22:47:36 +0000 (15:47 -0700)]
Resolve TODO with pin assignments for SRL*

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Wed, 4 Sep 2019 22:36:07 +0000 (15:36 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
Eddie Hung [Wed, 4 Sep 2019 19:37:48 +0000 (12:37 -0700)]
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp

5 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 4 Sep 2019 19:37:42 +0000 (12:37 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

5 years agoAdd peepopt_dffmuxext
Eddie Hung [Wed, 4 Sep 2019 19:35:15 +0000 (12:35 -0700)]
Add peepopt_dffmuxext

5 years agoAdd peepopt_dffmuxext tests
Eddie Hung [Wed, 4 Sep 2019 19:34:44 +0000 (12:34 -0700)]
Add peepopt_dffmuxext tests

5 years agoMerge pull request #1354 from emilazy/remove-which-use
whitequark [Wed, 4 Sep 2019 18:55:17 +0000 (18:55 +0000)]
Merge pull request #1354 from emilazy/remove-which-use

Replace `which` with `command -v` in Makefile too

5 years agoReplace `which` with `command -v` in Makefile too
Emily [Wed, 4 Sep 2019 18:01:00 +0000 (19:01 +0100)]
Replace `which` with `command -v` in Makefile too

5 years agoMerge pull request #1338 from YosysHQ/eddie/deferred_top
Eddie Hung [Wed, 4 Sep 2019 17:55:41 +0000 (10:55 -0700)]
Merge pull request #1338 from YosysHQ/eddie/deferred_top

hierarchy -auto-top to work with (* top *) modules from read/read_verilog -defer

5 years agoSupport CEM
Eddie Hung [Wed, 4 Sep 2019 17:52:51 +0000 (10:52 -0700)]
Support CEM

5 years agost.ffP from if to assert
Eddie Hung [Tue, 3 Sep 2019 23:37:59 +0000 (16:37 -0700)]
st.ffP from if to assert

5 years agoRename muxAB to postAddMux
Eddie Hung [Tue, 3 Sep 2019 23:24:59 +0000 (16:24 -0700)]
Rename muxAB to postAddMux

5 years agoUse choices for addAB, now called postAdd
Eddie Hung [Tue, 3 Sep 2019 23:10:16 +0000 (16:10 -0700)]
Use choices for addAB, now called postAdd

5 years agoAdd support for load value into DSP48E1.P
Eddie Hung [Tue, 3 Sep 2019 22:53:10 +0000 (15:53 -0700)]
Add support for load value into DSP48E1.P

5 years agoProcess post-adder first since C could be used for load-P
Eddie Hung [Tue, 3 Sep 2019 21:57:59 +0000 (14:57 -0700)]
Process post-adder first since C could be used for load-P

5 years agoUse feedback path for MACC
Eddie Hung [Tue, 3 Sep 2019 21:37:32 +0000 (14:37 -0700)]
Use feedback path for MACC

5 years agoAdopt @cliffordwolf's suggestion
Eddie Hung [Tue, 3 Sep 2019 19:18:50 +0000 (12:18 -0700)]
Adopt @cliffordwolf's suggestion

5 years agoExpand test with `hierarchy' without -auto-top
Eddie Hung [Tue, 3 Sep 2019 19:17:26 +0000 (12:17 -0700)]
Expand test with `hierarchy' without -auto-top

5 years agoAdd `read -noverific` before read
Eddie Hung [Tue, 3 Sep 2019 17:52:34 +0000 (10:52 -0700)]
Add `read -noverific` before read

5 years agoMerge remote-tracking branch 'origin/master' into eddie/deferred_top
Eddie Hung [Tue, 3 Sep 2019 17:49:21 +0000 (10:49 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/deferred_top

5 years agoMerge pull request #1351 from emilazy/remove-which-use
Clifford Wolf [Tue, 3 Sep 2019 07:27:47 +0000 (09:27 +0200)]
Merge pull request #1351 from emilazy/remove-which-use

Use `command -v` rather than `which`

5 years agoUse `command -v` rather than `which`
Emily [Mon, 2 Sep 2019 23:57:32 +0000 (00:57 +0100)]
Use `command -v` rather than `which`

5 years agoProperly construct $live and $fair cells from "if (...) assume/assert (s_eventually...
Clifford Wolf [Mon, 2 Sep 2019 20:56:38 +0000 (22:56 +0200)]
Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"

Fixes https://github.com/YosysHQ/SymbiYosys/issues/59

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd comments
Eddie Hung [Mon, 2 Sep 2019 19:22:15 +0000 (12:22 -0700)]
Add comments

5 years agoRename box
Eddie Hung [Mon, 2 Sep 2019 19:15:11 +0000 (12:15 -0700)]
Rename box

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Mon, 2 Sep 2019 19:13:44 +0000 (12:13 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Mon, 2 Sep 2019 19:13:33 +0000 (12:13 -0700)]
Merge branch 'master' of github.com:YosysHQ/yosys

5 years agoRecognise built-in types (e.g. $_DFF_*)
Eddie Hung [Sat, 31 Aug 2019 03:15:09 +0000 (20:15 -0700)]
Recognise built-in types (e.g. $_DFF_*)

5 years agoMerge pull request #1344 from YosysHQ/eddie/ice40_signed_macc
Eddie Hung [Sun, 1 Sep 2019 17:11:33 +0000 (10:11 -0700)]
Merge pull request #1344 from YosysHQ/eddie/ice40_signed_macc

ice40_dsp to allow signed multipliers

5 years agoMerge pull request #1347 from mmicko/fix_select_error_msg
Clifford Wolf [Sun, 1 Sep 2019 11:30:57 +0000 (13:30 +0200)]
Merge pull request #1347 from mmicko/fix_select_error_msg

Fix select command error msg, fixes issue #1081

5 years agoMerge pull request #1346 from mmicko/fix_ecp5_cells_sim
David Shah [Sun, 1 Sep 2019 09:01:27 +0000 (10:01 +0100)]
Merge pull request #1346 from mmicko/fix_ecp5_cells_sim

Fix TRELLIS_FF simulation model

5 years agoFix select command error msg, fixes issue #1081
Miodrag Milanovic [Sun, 1 Sep 2019 09:00:09 +0000 (11:00 +0200)]
Fix select command error msg, fixes issue #1081

5 years agoFix TRELLIS_FF simulation model
Miodrag Milanovic [Sat, 31 Aug 2019 09:12:06 +0000 (11:12 +0200)]
Fix TRELLIS_FF simulation model

5 years agoecp5_gsr: Fix typo
David Shah [Sat, 31 Aug 2019 08:58:46 +0000 (09:58 +0100)]
ecp5_gsr: Fix typo

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMake abc9 test a bit more interesting
Eddie Hung [Sat, 31 Aug 2019 03:31:53 +0000 (20:31 -0700)]
Make abc9 test a bit more interesting

5 years agoRecognise built-in types (e.g. $_DFF_*)
Eddie Hung [Sat, 31 Aug 2019 03:15:09 +0000 (20:15 -0700)]
Recognise built-in types (e.g. $_DFF_*)

5 years agoRemove trailing space
Eddie Hung [Fri, 30 Aug 2019 23:44:11 +0000 (16:44 -0700)]
Remove trailing space

5 years agoFine tune xilinx_dsp pattern matcher
Eddie Hung [Fri, 30 Aug 2019 23:18:58 +0000 (16:18 -0700)]
Fine tune xilinx_dsp pattern matcher

5 years agoAdd macc test, with equiv_opt not currently passing
Eddie Hung [Fri, 30 Aug 2019 23:18:14 +0000 (16:18 -0700)]
Add macc test, with equiv_opt not currently passing

5 years agoautoremove ffM
Eddie Hung [Fri, 30 Aug 2019 22:30:04 +0000 (15:30 -0700)]
autoremove ffM

5 years agoRemove debug
Eddie Hung [Fri, 30 Aug 2019 22:03:43 +0000 (15:03 -0700)]
Remove debug

5 years agoffM before addAB
Eddie Hung [Fri, 30 Aug 2019 22:03:12 +0000 (15:03 -0700)]
ffM before addAB

5 years agoAnother oops
Eddie Hung [Fri, 30 Aug 2019 22:02:53 +0000 (15:02 -0700)]
Another oops

5 years agoUpdate commented out
Eddie Hung [Fri, 30 Aug 2019 22:01:38 +0000 (15:01 -0700)]
Update commented out

5 years agoUpdate test for ffM
Eddie Hung [Fri, 30 Aug 2019 22:01:08 +0000 (15:01 -0700)]
Update test for ffM

5 years agoAdd support for ffM
Eddie Hung [Fri, 30 Aug 2019 22:00:56 +0000 (15:00 -0700)]
Add support for ffM

5 years agoUpdate comment
Eddie Hung [Fri, 30 Aug 2019 22:00:40 +0000 (15:00 -0700)]
Update comment

5 years agoAdd mul_unsigned test
Eddie Hung [Fri, 30 Aug 2019 21:35:05 +0000 (14:35 -0700)]
Add mul_unsigned test

5 years agoMissing dep for test_pmgen
Eddie Hung [Fri, 30 Aug 2019 21:00:40 +0000 (14:00 -0700)]
Missing dep for test_pmgen

5 years agoMissing dep for test_pmgen
Eddie Hung [Fri, 30 Aug 2019 21:00:40 +0000 (14:00 -0700)]
Missing dep for test_pmgen

5 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Fri, 30 Aug 2019 20:26:19 +0000 (13:26 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 19:28:35 +0000 (12:28 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge pull request #1340 from YosysHQ/eddie/abc_no_clean
Eddie Hung [Fri, 30 Aug 2019 19:27:09 +0000 (12:27 -0700)]
Merge pull request #1340 from YosysHQ/eddie/abc_no_clean

abc9 to not call "clean" at end of run (often called outside)

5 years agoImprove tests/ice40/macc.ys for SB_MAC16
Eddie Hung [Fri, 30 Aug 2019 19:22:59 +0000 (12:22 -0700)]
Improve tests/ice40/macc.ys for SB_MAC16

5 years agoDo not restrict multiplier to unsigned
Eddie Hung [Fri, 30 Aug 2019 19:22:14 +0000 (12:22 -0700)]
Do not restrict multiplier to unsigned

5 years agoNew pmgen requires explicit accept
Eddie Hung [Fri, 30 Aug 2019 18:02:10 +0000 (11:02 -0700)]
New pmgen requires explicit accept

5 years agoMerge pull request #1310 from SergeyDegtyar/master
Eddie Hung [Fri, 30 Aug 2019 17:54:22 +0000 (10:54 -0700)]
Merge pull request #1310 from SergeyDegtyar/master

Add new tests for ice40 architecture

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 17:32:58 +0000 (10:32 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoMerge pull request #1321 from YosysHQ/eddie/xilinx_srl
Eddie Hung [Fri, 30 Aug 2019 17:32:03 +0000 (10:32 -0700)]
Merge pull request #1321 from YosysHQ/eddie/xilinx_srl

xilinx_srl pass for shift register extraction

5 years agoMerge branch 'eddie/xilinx_srl' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 17:30:54 +0000 (10:30 -0700)]
Merge branch 'eddie/xilinx_srl' into xaig_arrival

5 years agoMerge remote-tracking branch 'origin/master' into xaig_arrival
Eddie Hung [Fri, 30 Aug 2019 17:30:46 +0000 (10:30 -0700)]
Merge remote-tracking branch 'origin/master' into xaig_arrival

5 years agoFormat `-pwires`
Eddie Hung [Fri, 30 Aug 2019 17:27:07 +0000 (10:27 -0700)]
Format `-pwires`

5 years agoMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dsp
Eddie Hung [Fri, 30 Aug 2019 16:50:20 +0000 (09:50 -0700)]
Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dsp

5 years agoMerge remote-tracking branch 'origin/master' into eddie/xilinx_srl
Eddie Hung [Fri, 30 Aug 2019 16:37:32 +0000 (09:37 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/xilinx_srl

5 years agomacc test fix
SergeyDegtyar [Fri, 30 Aug 2019 13:01:36 +0000 (16:01 +0300)]
macc test fix

5 years agoMerge branch 'master' into xc7dsp
David Shah [Fri, 30 Aug 2019 12:57:15 +0000 (13:57 +0100)]
Merge branch 'master' into xc7dsp

5 years agoMerge pull request #1343 from whitequark/diamond-ffs
David Shah [Fri, 30 Aug 2019 12:28:21 +0000 (13:28 +0100)]
Merge pull request #1343 from whitequark/diamond-ffs

Add/update every Diamond FF primitive

5 years agoecp5: Add simulation equivalence check for Diamond FF implementations
David Shah [Fri, 30 Aug 2019 12:25:55 +0000 (13:25 +0100)]
ecp5: Add simulation equivalence check for Diamond FF implementations

Signed-off-by: David Shah <dave@ds0.me>
5 years agoFix macc test
SergeyDegtyar [Fri, 30 Aug 2019 12:22:46 +0000 (15:22 +0300)]
Fix macc test

5 years agodiv_mod test fix
SergeyDegtyar [Fri, 30 Aug 2019 11:17:03 +0000 (14:17 +0300)]
div_mod test fix

5 years agofix div_mod test
SergeyDegtyar [Fri, 30 Aug 2019 10:22:11 +0000 (13:22 +0300)]
fix div_mod test

5 years agoecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.
whitequark [Fri, 30 Aug 2019 10:05:09 +0000 (10:05 +0000)]
ecp5: deduplicate Diamond FD/IFS/OFS/IO primitives.

5 years agoecp5: allow (and enable by default) GSR on FD/IFS/OFS primitives.
whitequark [Fri, 30 Aug 2019 09:56:19 +0000 (09:56 +0000)]
ecp5: allow (and enable by default) GSR on FD/IFS/OFS primitives.

5 years agoecp5: add missing FD primitives.
whitequark [Fri, 30 Aug 2019 09:54:48 +0000 (09:54 +0000)]
ecp5: add missing FD primitives.

5 years agoecp5: fix CEMUX on IFS/OFS primitives.
whitequark [Fri, 30 Aug 2019 09:42:33 +0000 (09:42 +0000)]
ecp5: fix CEMUX on IFS/OFS primitives.

5 years agoFix test for counter
SergeyDegtyar [Fri, 30 Aug 2019 09:38:28 +0000 (12:38 +0300)]
Fix test for counter

5 years agoMerge branch 'master' into master
Sergey [Fri, 30 Aug 2019 07:29:47 +0000 (10:29 +0300)]
Merge branch 'master' into master

5 years agoAdd new tests.
SergeyDegtyar [Fri, 30 Aug 2019 06:45:33 +0000 (09:45 +0300)]
Add new tests.

5 years agoRemove unnecessary common.v(assertions for testbenches).
SergeyDegtyar [Fri, 30 Aug 2019 06:17:32 +0000 (09:17 +0300)]
Remove unnecessary common.v(assertions for testbenches).