yosys.git
4 years agoUpdate comments
Eddie Hung [Thu, 2 Jan 2020 20:39:52 +0000 (12:39 -0800)]
Update comments

4 years agoabc9 -keepff -> -dff; refactor dff operations
Eddie Hung [Thu, 2 Jan 2020 20:36:54 +0000 (12:36 -0800)]
abc9 -keepff -> -dff; refactor dff operations

4 years agoClamp -46ps for FDPE* too
Eddie Hung [Wed, 1 Jan 2020 16:39:00 +0000 (08:39 -0800)]
Clamp -46ps for FDPE* too

4 years agoGet rid of (* abc9_keep *) in write_xaiger too
Eddie Hung [Wed, 1 Jan 2020 16:38:23 +0000 (08:38 -0800)]
Get rid of (* abc9_keep *) in write_xaiger too

4 years agoCleanup abc9, update doc for -keepff option
Eddie Hung [Wed, 1 Jan 2020 16:34:57 +0000 (08:34 -0800)]
Cleanup abc9, update doc for -keepff option

4 years agoRestore abc9 -keepff
Eddie Hung [Wed, 1 Jan 2020 16:34:43 +0000 (08:34 -0800)]
Restore abc9 -keepff

4 years agoattributes.count() -> get_bool_attribute()
Eddie Hung [Wed, 1 Jan 2020 06:54:56 +0000 (22:54 -0800)]
attributes.count() -> get_bool_attribute()

4 years agoRe-arrange FD order
Eddie Hung [Wed, 1 Jan 2020 02:47:38 +0000 (18:47 -0800)]
Re-arrange FD order

4 years agoMissing character
Eddie Hung [Wed, 1 Jan 2020 02:42:11 +0000 (18:42 -0800)]
Missing character

4 years agoCleanup xilinx boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:44 +0000 (18:29 -0800)]
Cleanup xilinx boxes

4 years agoCleanup ice40 boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:37 +0000 (18:29 -0800)]
Cleanup ice40 boxes

4 years agoCleanup ecp5 boxes
Eddie Hung [Wed, 1 Jan 2020 02:29:29 +0000 (18:29 -0800)]
Cleanup ecp5 boxes

4 years agoparse_xaiger to not take box_lookup
Eddie Hung [Wed, 1 Jan 2020 01:06:03 +0000 (17:06 -0800)]
parse_xaiger to not take box_lookup

4 years agoparse_xaiger to reorder ports too
Eddie Hung [Wed, 1 Jan 2020 00:50:22 +0000 (16:50 -0800)]
parse_xaiger to reorder ports too

4 years agoAdd some abc9 dff tests
Eddie Hung [Wed, 1 Jan 2020 00:16:05 +0000 (16:16 -0800)]
Add some abc9 dff tests

4 years agoDo not re-order carry chain ports, just precompute iteration order
Eddie Hung [Wed, 1 Jan 2020 00:12:40 +0000 (16:12 -0800)]
Do not re-order carry chain ports, just precompute iteration order

4 years agoUpdate abc9_xc7.box comments
Eddie Hung [Tue, 31 Dec 2019 23:25:46 +0000 (15:25 -0800)]
Update abc9_xc7.box comments

4 years agoFDCE ports to be alphabetical
Eddie Hung [Tue, 31 Dec 2019 23:24:02 +0000 (15:24 -0800)]
FDCE ports to be alphabetical

4 years agoFix attributes on $__ABC9_ASYNC[01] whitebox
Eddie Hung [Tue, 31 Dec 2019 19:14:11 +0000 (11:14 -0800)]
Fix attributes on $__ABC9_ASYNC[01] whitebox

4 years agoFix incorrect $__ABC9_ASYNC[01] box
Eddie Hung [Tue, 31 Dec 2019 19:13:50 +0000 (11:13 -0800)]
Fix incorrect $__ABC9_ASYNC[01] box

4 years agowrite_xaiger: be more precise with ff_bits, remove ff_aig_map
Eddie Hung [Tue, 31 Dec 2019 18:21:11 +0000 (10:21 -0800)]
write_xaiger: be more precise with ff_bits, remove ff_aig_map

4 years agoRetry getting rid of write_xaiger's holes_mode
Eddie Hung [Tue, 31 Dec 2019 17:59:17 +0000 (09:59 -0800)]
Retry getting rid of write_xaiger's holes_mode

4 years agoRevert "Get rid of holes_mode"
Eddie Hung [Tue, 31 Dec 2019 07:29:14 +0000 (23:29 -0800)]
Revert "Get rid of holes_mode"

This reverts commit 7997e2a90fd37886241b7eb657408177ef7f6fa7.

4 years agoGet rid of holes_mode
Eddie Hung [Tue, 31 Dec 2019 02:24:29 +0000 (18:24 -0800)]
Get rid of holes_mode

4 years agoAdd -D DFF_MODE to abc9_map test
Eddie Hung [Tue, 31 Dec 2019 04:13:25 +0000 (20:13 -0800)]
Add -D DFF_MODE to abc9_map test

4 years agoRemove delay targets doc
Eddie Hung [Tue, 31 Dec 2019 00:11:42 +0000 (16:11 -0800)]
Remove delay targets doc

4 years agowrite_xaiger to use scratchpad for stats; cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 23:35:33 +0000 (15:35 -0800)]
write_xaiger to use scratchpad for stats; cleanup abc9

4 years agoRemove submod changes
Eddie Hung [Mon, 30 Dec 2019 22:56:14 +0000 (14:56 -0800)]
Remove submod changes

4 years agoRemove unused
Eddie Hung [Mon, 30 Dec 2019 22:35:52 +0000 (14:35 -0800)]
Remove unused

4 years agoDo not offset FD* box timings due to -46ps Tsu
Eddie Hung [Fri, 27 Dec 2019 20:03:19 +0000 (12:03 -0800)]
Do not offset FD* box timings due to -46ps Tsu

4 years agoCall "proc" if processes inside whiteboxes
Eddie Hung [Mon, 30 Dec 2019 22:33:05 +0000 (14:33 -0800)]
Call "proc" if processes inside whiteboxes

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Mon, 30 Dec 2019 22:31:42 +0000 (14:31 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd CHANGELOG entry, add abc9_{flop,keep} attr to README.md
Eddie Hung [Mon, 30 Dec 2019 22:24:58 +0000 (14:24 -0800)]
Add CHANGELOG entry, add abc9_{flop,keep} attr to README.md

4 years agoTidy up abc9_map.v
Eddie Hung [Mon, 30 Dec 2019 22:19:29 +0000 (14:19 -0800)]
Tidy up abc9_map.v

4 years agoAdd "synth_xilinx -dff" option, cleanup abc9
Eddie Hung [Mon, 30 Dec 2019 22:13:16 +0000 (14:13 -0800)]
Add "synth_xilinx -dff" option, cleanup abc9

4 years agoGrammar
Eddie Hung [Mon, 30 Dec 2019 20:26:39 +0000 (12:26 -0800)]
Grammar

4 years agoMerge pull request #1589 from YosysHQ/iopad_default
Miodrag Milanović [Mon, 30 Dec 2019 19:34:31 +0000 (20:34 +0100)]
Merge pull request #1589 from YosysHQ/iopad_default

Make iopad option default for all xilinx flows

4 years agoMerge pull request #1599 from YosysHQ/eddie/retry_1588
Eddie Hung [Mon, 30 Dec 2019 18:01:02 +0000 (10:01 -0800)]
Merge pull request #1599 from YosysHQ/eddie/retry_1588

Retry #1588 -- "write_xaiger: only instantiate each whitebox cell type once"

4 years agoMerge pull request #1600 from YosysHQ/eddie/cleanup_ecp5
Eddie Hung [Mon, 30 Dec 2019 18:00:47 +0000 (10:00 -0800)]
Merge pull request #1600 from YosysHQ/eddie/cleanup_ecp5

Nitpick cleanup for ecp5

4 years agoFix new tests
Miodrag Milanovic [Sat, 28 Dec 2019 15:43:19 +0000 (16:43 +0100)]
Fix new tests

4 years agoMerge remote-tracking branch 'origin/master' into iopad_default
Miodrag Milanovic [Sat, 28 Dec 2019 15:23:31 +0000 (16:23 +0100)]
Merge remote-tracking branch 'origin/master' into iopad_default

4 years agoMake test without iopads
Miodrag Milanovic [Sat, 28 Dec 2019 15:22:24 +0000 (16:22 +0100)]
Make test without iopads

4 years agoRevert "Fix xilinx tests, when iopads are default"
Miodrag Milanovic [Sat, 28 Dec 2019 15:12:45 +0000 (16:12 +0100)]
Revert "Fix xilinx tests, when iopads are default"

This reverts commit 477e43d921d204c6bc6403109fea6506802c948c.

4 years agoUpdate resource count
Eddie Hung [Sat, 28 Dec 2019 10:15:11 +0000 (02:15 -0800)]
Update resource count

4 years agoNitpick cleanup for ecp5
Eddie Hung [Sat, 28 Dec 2019 00:57:08 +0000 (16:57 -0800)]
Nitpick cleanup for ecp5

4 years agoAdd #1598 testcase
Eddie Hung [Sat, 28 Dec 2019 00:44:57 +0000 (16:44 -0800)]
Add #1598 testcase

4 years agowrite_xaiger: inherit port ordering from original module
Eddie Hung [Sat, 28 Dec 2019 00:44:18 +0000 (16:44 -0800)]
write_xaiger: inherit port ordering from original module

4 years agoRevert "Merge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup"
Eddie Hung [Sat, 28 Dec 2019 00:05:58 +0000 (16:05 -0800)]
Revert "Merge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup"

This reverts commit 92654f73ea92ee9e390c8ab50d8cb51c47a7ffa9, reversing
changes made to 3e14ff16676884a1f65cf0eeb0ca9cb1958b8804.

4 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Fri, 27 Dec 2019 23:37:26 +0000 (15:37 -0800)]
Merge branch 'master' of github.com:YosysHQ/yosys

4 years agowrite_xaiger: simplify c{i,o}_bits
Eddie Hung [Fri, 27 Dec 2019 23:35:19 +0000 (15:35 -0800)]
write_xaiger: simplify c{i,o}_bits

4 years agoMerge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup
David Shah [Fri, 27 Dec 2019 23:31:51 +0000 (23:31 +0000)]
Merge pull request #1598 from YosysHQ/revert-1588-eddie/xaiger_cleanup

Revert "write_xaiger: only instantiate each whitebox cell type once"

4 years agoRevert "write_xaiger: only instantiate each whitebox cell type once"
David Shah [Fri, 27 Dec 2019 23:25:20 +0000 (23:25 +0000)]
Revert "write_xaiger: only instantiate each whitebox cell type once"

4 years agoReally fix it!
Eddie Hung [Fri, 27 Dec 2019 23:18:55 +0000 (15:18 -0800)]
Really fix it!

4 years agowrite_xaiger: fix arrival times for non boxes
Eddie Hung [Fri, 27 Dec 2019 19:30:18 +0000 (11:30 -0800)]
write_xaiger: fix arrival times for non boxes

4 years agofixed invalid char
Miodrag Milanovic [Wed, 25 Dec 2019 19:38:48 +0000 (20:38 +0100)]
fixed invalid char

4 years agoiopadmap: Emit tristate buffers with const OE for some edge cases.
Marcin Kościelnicki [Sun, 22 Dec 2019 00:08:56 +0000 (01:08 +0100)]
iopadmap: Emit tristate buffers with const OE for some edge cases.

4 years agoMerge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen
Marcin Kościelnicki [Wed, 25 Dec 2019 15:18:44 +0000 (16:18 +0100)]
Merge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen

xilinx_dsp: Initial DSP48A/DSP48A1 support.

4 years agoMinor nit fixes
Marcin Kościelnicki [Wed, 25 Dec 2019 14:39:40 +0000 (15:39 +0100)]
Minor nit fixes

4 years agoAdd DSP cascade tests
Eddie Hung [Mon, 23 Dec 2019 22:58:06 +0000 (14:58 -0800)]
Add DSP cascade tests

4 years agoFix OPMODE for PCIN->PCOUT cascades in xc6s, check B[01]REG too
Eddie Hung [Mon, 23 Dec 2019 22:40:59 +0000 (14:40 -0800)]
Fix OPMODE for PCIN->PCOUT cascades in xc6s, check B[01]REG too

4 years agoFix CEA/CEB check
Eddie Hung [Mon, 23 Dec 2019 22:22:13 +0000 (14:22 -0800)]
Fix CEA/CEB check

4 years agoFix checking CE[AB] and for direct connections
Eddie Hung [Mon, 23 Dec 2019 21:41:26 +0000 (13:41 -0800)]
Fix checking CE[AB] and for direct connections

4 years agoSupport unregistered cascades for A and B inputs
Eddie Hung [Mon, 23 Dec 2019 20:38:18 +0000 (12:38 -0800)]
Support unregistered cascades for A and B inputs

4 years agoAdd DSP48A* PCOUT -> PCIN cascade support
Eddie Hung [Mon, 23 Dec 2019 19:42:46 +0000 (11:42 -0800)]
Add DSP48A* PCOUT -> PCIN cascade support

4 years agoxilinx: Test our DSP48A/DSP48A1 simulation models.
Marcin Kościelnicki [Sun, 22 Dec 2019 14:30:04 +0000 (14:30 +0000)]
xilinx: Test our DSP48A/DSP48A1 simulation models.

4 years agoDisable clock domain partitioning in Yosys pass, let ABC do it
Eddie Hung [Mon, 23 Dec 2019 16:36:20 +0000 (08:36 -0800)]
Disable clock domain partitioning in Yosys pass, let ABC do it

4 years agowrite_xaiger to opt instead of just clean whiteboxes
Eddie Hung [Mon, 23 Dec 2019 16:35:53 +0000 (08:35 -0800)]
write_xaiger to opt instead of just clean whiteboxes

4 years agoxilinx_dsp: Initial DSP48A/DSP48A1 support.
Marcin Kościelnicki [Sun, 22 Dec 2019 19:43:39 +0000 (20:43 +0100)]
xilinx_dsp: Initial DSP48A/DSP48A1 support.

4 years agoAddressed review comments
Miodrag Milanovic [Sat, 21 Dec 2019 19:23:23 +0000 (20:23 +0100)]
Addressed review comments

4 years agoiopad no op for compatibility with old scripts
Miodrag Milanovic [Sat, 21 Dec 2019 12:21:45 +0000 (13:21 +0100)]
iopad no op for compatibility with old scripts

4 years agoFix xilinx tests, when iopads are default
Miodrag Milanovic [Sat, 21 Dec 2019 12:18:44 +0000 (13:18 +0100)]
Fix xilinx tests, when iopads are default

4 years agoMake iopad option default for all xilinx flows
Miodrag Milanovic [Sat, 21 Dec 2019 10:56:41 +0000 (11:56 +0100)]
Make iopad option default for all xilinx flows

4 years agoMerge pull request #1588 from YosysHQ/eddie/xaiger_cleanup
Eddie Hung [Fri, 20 Dec 2019 22:56:08 +0000 (14:56 -0800)]
Merge pull request #1588 from YosysHQ/eddie/xaiger_cleanup

write_xaiger: only instantiate each whitebox cell type once

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Fri, 20 Dec 2019 22:07:23 +0000 (14:07 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd abc9_arrival times for RAM{32,64}M
Eddie Hung [Fri, 20 Dec 2019 22:06:59 +0000 (14:06 -0800)]
Add abc9_arrival times for RAM{32,64}M

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Fri, 20 Dec 2019 21:56:13 +0000 (13:56 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoAdd RAM{32,64}M to abc9_map.v
Eddie Hung [Thu, 19 Dec 2019 19:24:39 +0000 (11:24 -0800)]
Add RAM{32,64}M to abc9_map.v

4 years agoPut specify/endspecify inside ``
Eddie Hung [Fri, 20 Dec 2019 21:38:32 +0000 (13:38 -0800)]
Put specify/endspecify inside ``

4 years agoMerge pull request #1585 from YosysHQ/eddie/fix_abc9_lut
Eddie Hung [Fri, 20 Dec 2019 21:09:00 +0000 (13:09 -0800)]
Merge pull request #1585 from YosysHQ/eddie/fix_abc9_lut

Interpret "abc9 -lut" as lut string only if [0-9:]

4 years agowrite_xaiger: only instantiate each whitebox cell type once
Eddie Hung [Fri, 20 Dec 2019 21:07:24 +0000 (13:07 -0800)]
write_xaiger: only instantiate each whitebox cell type once

4 years agoMerge pull request #1587 from YosysHQ/revert-1558-eddie/xaiger_cleanup
Eddie Hung [Fri, 20 Dec 2019 21:03:48 +0000 (13:03 -0800)]
Merge pull request #1587 from YosysHQ/revert-1558-eddie/xaiger_cleanup

Revert "Optimise write_xaiger"

4 years agoRevert "Optimise write_xaiger"
Eddie Hung [Fri, 20 Dec 2019 20:05:45 +0000 (12:05 -0800)]
Revert "Optimise write_xaiger"

4 years agoFix linking with Python 3.8
Graham Edgecombe [Tue, 19 Nov 2019 19:46:15 +0000 (19:46 +0000)]
Fix linking with Python 3.8

The behaviour of python-config --libs has changed in Python 3.8.

For example, compare the output of it with Python 3.7 and 3.8 on an
ArchLinux system:

    $ python3.7-config --libs
    -lpython3.7m -lcrypt -lpthread -ldl  -lutil -lm
    $ python3.8-config --libs
    -lcrypt -lpthread -ldl  -lutil -lm -lm
    $

The lack of -lpython in the latter case causes the linker to fail when
attempting to build Yosys against Python 3.8.

Passing the new --embed flag to python-config adds -lpython, just like
earlier versions of Python:

    $ python3.8-config --embed --libs
    -lpython3.8 -lcrypt -lpthread -ldl  -lutil -lm -lm
    $

This commit adds code for automatically detecting support for the
--embed flag. If it is supported, it is passed to all python-config
invocations. This fixes building against Python 3.8.

4 years agoAdd PYTHON_CONFIG variable to the Makefile
Graham Edgecombe [Tue, 19 Nov 2019 19:45:59 +0000 (19:45 +0000)]
Add PYTHON_CONFIG variable to the Makefile

4 years agoAdd RAM{32,64}M to abc9_map.v
Eddie Hung [Thu, 19 Dec 2019 19:24:39 +0000 (11:24 -0800)]
Add RAM{32,64}M to abc9_map.v

4 years agoSplit into $__ABC9_ASYNC[01], do not add cell->type to clkdomain_t
Eddie Hung [Thu, 19 Dec 2019 19:23:41 +0000 (11:23 -0800)]
Split into $__ABC9_ASYNC[01], do not add cell->type to clkdomain_t

4 years agoMerge remote-tracking branch 'origin/master' into xaig_dff
Eddie Hung [Thu, 19 Dec 2019 18:29:40 +0000 (10:29 -0800)]
Merge remote-tracking branch 'origin/master' into xaig_dff

4 years agoMerge pull request #1581 from YosysHQ/clifford/fix1565
Eddie Hung [Thu, 19 Dec 2019 17:24:27 +0000 (12:24 -0500)]
Merge pull request #1581 from YosysHQ/clifford/fix1565

Fix sim for assignments with lhs<rhs size

4 years agoMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
Eddie Hung [Thu, 19 Dec 2019 17:24:03 +0000 (12:24 -0500)]
Merge pull request #1558 from YosysHQ/eddie/xaiger_cleanup

Optimise write_xaiger

4 years agoMerge pull request #1569 from YosysHQ/eddie/fix_1531
Eddie Hung [Thu, 19 Dec 2019 17:21:33 +0000 (12:21 -0500)]
Merge pull request #1569 from YosysHQ/eddie/fix_1531

verilog: preserve size of $genval$-s in for loops

4 years agoMerge pull request #1571 from YosysHQ/eddie/fix_1570
Eddie Hung [Thu, 19 Dec 2019 17:21:22 +0000 (12:21 -0500)]
Merge pull request #1571 from YosysHQ/eddie/fix_1570

mem_arst.v: do not redeclare ANSI port

4 years agoxilinx: Add simulation models for remaining CLB primitives.
Marcin Kościelnicki [Wed, 27 Nov 2019 17:13:00 +0000 (18:13 +0100)]
xilinx: Add simulation models for remaining CLB primitives.

4 years agoxilinx_dffopt: Keep order of LUT inputs.
Marcin Kościelnicki [Thu, 19 Dec 2019 07:49:21 +0000 (08:49 +0100)]
xilinx_dffopt: Keep order of LUT inputs.

See rationale at https://github.com/YosysHQ/yosys/pull/1557#discussion_r359196549

4 years agoBump ABC again
Eddie Hung [Wed, 18 Dec 2019 23:14:38 +0000 (15:14 -0800)]
Bump ABC again

4 years agoInterpret "abc9 -lut" as lut string only if [0-9:]
Eddie Hung [Wed, 18 Dec 2019 20:21:12 +0000 (12:21 -0800)]
Interpret "abc9 -lut" as lut string only if [0-9:]

4 years agoAdd "scratchpad" to CHANGELOG
Eddie Hung [Wed, 18 Dec 2019 20:09:11 +0000 (12:09 -0800)]
Add "scratchpad" to CHANGELOG

4 years agoMerge branch 'master' of github.com:YosysHQ/yosys
Eddie Hung [Wed, 18 Dec 2019 20:08:38 +0000 (12:08 -0800)]
Merge branch 'master' of github.com:YosysHQ/yosys

4 years agoMerge pull request #1563 from YosysHQ/dave/async-prld
David Shah [Wed, 18 Dec 2019 19:42:17 +0000 (19:42 +0000)]
Merge pull request #1563 from YosysHQ/dave/async-prld

ecp5: Add support for mapping PRLD FFs

4 years agoMerge pull request #1572 from nakengelhardt/scratchpad_pass
Eddie Hung [Wed, 18 Dec 2019 18:55:44 +0000 (13:55 -0500)]
Merge pull request #1572 from nakengelhardt/scratchpad_pass

add a command to read/modify scratchpad contents

4 years agoMerge pull request #1584 from YosysHQ/mwk/xilinx-flaky-test
Eddie Hung [Wed, 18 Dec 2019 17:53:45 +0000 (12:53 -0500)]
Merge pull request #1584 from YosysHQ/mwk/xilinx-flaky-test

tests/xilinx: fix flaky mux test