yosys.git
4 years agoMerge branch 'eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Mon, 27 Jan 2020 20:29:28 +0000 (12:29 -0800)]
Merge branch 'eddie/abc9_refactor' into eddie/abc9_required

4 years agoabc9_ops: add comments
Eddie Hung [Mon, 27 Jan 2020 19:18:21 +0000 (11:18 -0800)]
abc9_ops: add comments

4 years agoread_aiger: set abc9_box_seq attr
Eddie Hung [Fri, 24 Jan 2020 21:11:43 +0000 (13:11 -0800)]
read_aiger: set abc9_box_seq attr

4 years agoabc9: -reintegrate recover type from existing cell, check against boxid
Eddie Hung [Fri, 24 Jan 2020 06:45:34 +0000 (22:45 -0800)]
abc9: -reintegrate recover type from existing cell, check against boxid

4 years agosimple_abc9 tests to discard whitebox before write for sim
Eddie Hung [Fri, 24 Jan 2020 03:55:11 +0000 (19:55 -0800)]
simple_abc9 tests to discard whitebox before write for sim

4 years agoabc9: warning message if no modules selected
Eddie Hung [Fri, 24 Jan 2020 03:08:51 +0000 (19:08 -0800)]
abc9: warning message if no modules selected

4 years agoTest for (* keep *)-ed abc9_box_id
Eddie Hung [Fri, 24 Jan 2020 02:56:25 +0000 (18:56 -0800)]
Test for (* keep *)-ed abc9_box_id

4 years agoabc9_ops: -prep_xaiger to skip (* keep *) cells
Eddie Hung [Fri, 24 Jan 2020 02:56:06 +0000 (18:56 -0800)]
abc9_ops: -prep_xaiger to skip (* keep *) cells

4 years agoabc_box_id -> abc9_box_id in test
Eddie Hung [Fri, 24 Jan 2020 02:53:14 +0000 (18:53 -0800)]
abc_box_id -> abc9_box_id in test

4 years agoabc9_ops -prep_dff: insert async s/r mux in holes when replacing $_DFF_*
Eddie Hung [Thu, 23 Jan 2020 22:58:56 +0000 (14:58 -0800)]
abc9_ops -prep_dff: insert async s/r mux in holes when replacing $_DFF_*

4 years agoalumacc: undo accidental commit
Eddie Hung [Thu, 23 Jan 2020 04:54:03 +0000 (20:54 -0800)]
alumacc: undo accidental commit

4 years agoFix $__ABC9_ASYNC1 to output 1'b1 not 1'b0
Eddie Hung [Wed, 22 Jan 2020 22:22:03 +0000 (14:22 -0800)]
Fix $__ABC9_ASYNC1 to output 1'b1 not 1'b0

4 years agoread_aiger: also parse abc9_mergeability
Eddie Hung [Wed, 22 Jan 2020 22:21:25 +0000 (14:21 -0800)]
read_aiger: also parse abc9_mergeability

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_fixes' into eddie/abc9_refactor
Eddie Hung [Wed, 22 Jan 2020 20:30:14 +0000 (12:30 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_fixes' into eddie/abc9_refactor

4 years agoabc9: error out if flip-flop init is 1'b1 for '-dff'
Eddie Hung [Wed, 22 Jan 2020 18:08:48 +0000 (10:08 -0800)]
abc9: error out if flip-flop init is 1'b1 for '-dff'

Due to ABC sequential synthesis restriction

4 years agoabc9: fix scratchpad entry abc9.verify
Eddie Hung [Wed, 22 Jan 2020 17:36:54 +0000 (09:36 -0800)]
abc9: fix scratchpad entry abc9.verify

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Wed, 22 Jan 2020 00:27:40 +0000 (16:27 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoread_aiger: discard LUT inputs with nodeID == 0; not < 2
Eddie Hung [Tue, 21 Jan 2020 19:56:01 +0000 (11:56 -0800)]
read_aiger: discard LUT inputs with nodeID == 0; not < 2

4 years agoread_aiger: ignore constant inputs on LUTs
Eddie Hung [Tue, 21 Jan 2020 19:16:50 +0000 (11:16 -0800)]
read_aiger: ignore constant inputs on LUTs

4 years agowrite_xaiger: fix for (* keep *) on flop output
Eddie Hung [Tue, 21 Jan 2020 17:43:04 +0000 (09:43 -0800)]
write_xaiger: fix for (* keep *) on flop output

4 years agoMerge pull request #1637 from YosysHQ/mwk/fix-1634
Claire Wolf [Tue, 21 Jan 2020 17:37:06 +0000 (18:37 +0100)]
Merge pull request #1637 from YosysHQ/mwk/fix-1634

fsm_detect: Add a cache to avoid excessive CPU usage for big mux networks.

4 years agoMerge pull request #1629 from YosysHQ/mwk/edif-z
Claire Wolf [Tue, 21 Jan 2020 17:35:15 +0000 (18:35 +0100)]
Merge pull request #1629 from YosysHQ/mwk/edif-z

edif: Just ignore connections to 'z

4 years agoMerge pull request #1621 from YosysHQ/clifford/fminit
Claire Wolf [Mon, 20 Jan 2020 21:01:57 +0000 (22:01 +0100)]
Merge pull request #1621 from YosysHQ/clifford/fminit

Add fminit pass

4 years agoMerge pull request #1643 from YosysHQ/eddie/cleanup_arith_map
Eddie Hung [Sat, 18 Jan 2020 17:11:52 +0000 (09:11 -0800)]
Merge pull request #1643 from YosysHQ/eddie/cleanup_arith_map

Cleanup +/xilinx/arith_map.v

4 years agoMerge pull request #1602 from niklasnisbeth/ice40-init-vals-warning
David Shah [Sat, 18 Jan 2020 09:47:17 +0000 (09:47 +0000)]
Merge pull request #1602 from niklasnisbeth/ice40-init-vals-warning

ice40: Demote conflicting FF init values to a warning

4 years agoMerge pull request #1645 from YosysHQ/eddie/fix1644
Eddie Hung [Sat, 18 Jan 2020 03:25:59 +0000 (19:25 -0800)]
Merge pull request #1645 from YosysHQ/eddie/fix1644

{ice40,xilinx}_dsp: improve robustess

4 years agoxilinx_dsp: another typo; move xilinx specific test
Eddie Hung [Sat, 18 Jan 2020 01:07:03 +0000 (17:07 -0800)]
xilinx_dsp: another typo; move xilinx specific test

4 years agoice40_dsp: fix typo
Eddie Hung [Sat, 18 Jan 2020 00:08:04 +0000 (16:08 -0800)]
ice40_dsp: fix typo

4 years agoConsistency
Eddie Hung [Sat, 18 Jan 2020 00:06:20 +0000 (16:06 -0800)]
Consistency

4 years agoxilinx_dsp: add parameter defaults
Eddie Hung [Sat, 18 Jan 2020 00:05:10 +0000 (16:05 -0800)]
xilinx_dsp: add parameter defaults

4 years agoAdd #1644 testcase
Eddie Hung [Fri, 17 Jan 2020 23:57:52 +0000 (15:57 -0800)]
Add #1644 testcase

4 years agosynth_ice40: call wreduce before mul2dsp
Eddie Hung [Fri, 17 Jan 2020 23:41:55 +0000 (15:41 -0800)]
synth_ice40: call wreduce before mul2dsp

4 years agoice40_dsp: add test
Eddie Hung [Fri, 17 Jan 2020 23:38:26 +0000 (15:38 -0800)]
ice40_dsp: add test

4 years agoice40_dsp: add default values for parameters
Eddie Hung [Fri, 17 Jan 2020 23:37:52 +0000 (15:37 -0800)]
ice40_dsp: add default values for parameters

4 years agoice40_dsp: tolerant of fanout-less outputs, as well as all-zero inputs
Eddie Hung [Fri, 17 Jan 2020 23:28:02 +0000 (15:28 -0800)]
ice40_dsp: tolerant of fanout-less outputs, as well as all-zero inputs

4 years agoDeprecate `_CLB_CARRY from +/xilinx/arith_map.v since #1623
Eddie Hung [Fri, 17 Jan 2020 20:02:46 +0000 (12:02 -0800)]
Deprecate `_CLB_CARRY from +/xilinx/arith_map.v since #1623

4 years agoabc9: add some log_{push,pop}() as per @nakengelhardt
Eddie Hung [Fri, 17 Jan 2020 20:00:14 +0000 (12:00 -0800)]
abc9: add some log_{push,pop}() as per @nakengelhardt

4 years ago+/xilinx/arith_map.v fix $lcu rule
Eddie Hung [Fri, 17 Jan 2020 19:14:19 +0000 (11:14 -0800)]
+/xilinx/arith_map.v fix $lcu rule

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Thu, 16 Jan 2020 00:42:27 +0000 (16:42 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Thu, 16 Jan 2020 00:42:16 +0000 (16:42 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1639 from YosysHQ/eddie/fix_read_xaiger
Eddie Hung [Thu, 16 Jan 2020 00:22:49 +0000 (16:22 -0800)]
Merge pull request #1639 from YosysHQ/eddie/fix_read_xaiger

read_aiger: $lut prefix in front

4 years agoUpdate README.md for (* abc9_required *)
Eddie Hung [Wed, 15 Jan 2020 22:42:00 +0000 (14:42 -0800)]
Update README.md for (* abc9_required *)

4 years agoabc9: aAdd test to check $_NOT_s are absorbed
Eddie Hung [Wed, 15 Jan 2020 22:36:05 +0000 (14:36 -0800)]
abc9: aAdd test to check $_NOT_s are absorbed

4 years agoread_aiger: $lut prefix in front
Eddie Hung [Wed, 15 Jan 2020 22:31:32 +0000 (14:31 -0800)]
read_aiger: $lut prefix in front

4 years agoabc9_ops: -write_box is empty, output a dummy box to prevent ABC error
Eddie Hung [Wed, 15 Jan 2020 21:14:48 +0000 (13:14 -0800)]
abc9_ops: -write_box is empty, output a dummy box to prevent ABC error

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Wed, 15 Jan 2020 19:26:11 +0000 (11:26 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required

4 years agowrite_xaiger: skip abc9_flop only if abc_box_seq present
Eddie Hung [Wed, 15 Jan 2020 19:25:20 +0000 (11:25 -0800)]
write_xaiger: skip abc9_flop only if abc_box_seq present

4 years agoMerge pull request #1636 from YosysHQ/eddie/fix_synth_xilinx_W
Miodrag Milanović [Wed, 15 Jan 2020 07:47:16 +0000 (08:47 +0100)]
Merge pull request #1636 from YosysHQ/eddie/fix_synth_xilinx_W

synth_xilinx: fix default W value for non-xc7

4 years agoabc9_ops: cope with (* abc9_flop *) in place of (* abc9_box_id *)
Eddie Hung [Wed, 15 Jan 2020 00:33:41 +0000 (16:33 -0800)]
abc9_ops: cope with (* abc9_flop *) in place of (* abc9_box_id *)

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Wed, 15 Jan 2020 00:32:46 +0000 (16:32 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required

4 years agowrite_xaiger: do not export flop inputs as POs
Eddie Hung [Wed, 15 Jan 2020 00:17:27 +0000 (16:17 -0800)]
write_xaiger: do not export flop inputs as POs

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Tue, 14 Jan 2020 23:47:13 +0000 (15:47 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoabc9_ops: -check to check abc9_{arrival,required}
Eddie Hung [Tue, 14 Jan 2020 23:24:44 +0000 (15:24 -0800)]
abc9_ops: -check to check abc9_{arrival,required}

4 years agoabc9_ops: implement a requireds_cache
Eddie Hung [Tue, 14 Jan 2020 23:20:04 +0000 (15:20 -0800)]
abc9_ops: implement a requireds_cache

4 years agoabc9_ops: generate flop box ids, add abc9_required to FD* cells
Eddie Hung [Tue, 14 Jan 2020 23:05:49 +0000 (15:05 -0800)]
abc9_ops: generate flop box ids, add abc9_required to FD* cells

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Tue, 14 Jan 2020 22:28:07 +0000 (14:28 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required

4 years agoabc9_ops: -reintegrate to not trim box padding anymore
Eddie Hung [Tue, 14 Jan 2020 22:27:29 +0000 (14:27 -0800)]
abc9_ops: -reintegrate to not trim box padding anymore

4 years agoMerge pull request #1635 from YosysHQ/eddie/print_stats
Eddie Hung [Tue, 14 Jan 2020 22:18:42 +0000 (14:18 -0800)]
Merge pull request #1635 from YosysHQ/eddie/print_stats

print_stats footer to return peak memory, option for including children

4 years agoabc9_ops: fix -reintegrate handling of $__ABC9_DELAY
Eddie Hung [Tue, 14 Jan 2020 22:06:02 +0000 (14:06 -0800)]
abc9_ops: fix -reintegrate handling of $__ABC9_DELAY

4 years agofsm_detect: Add a cache to avoid excessive CPU usage for big mux networks.
Marcin Kościelnicki [Tue, 14 Jan 2020 21:48:40 +0000 (22:48 +0100)]
fsm_detect: Add a cache to avoid excessive CPU usage for big mux networks.

Fixes #1634.

4 years agoabc9_ops: -prep_times -> -prep_delays; add doc
Eddie Hung [Tue, 14 Jan 2020 21:21:58 +0000 (13:21 -0800)]
abc9_ops: -prep_times -> -prep_delays; add doc

4 years agoabc9_ops: cleanup
Eddie Hung [Tue, 14 Jan 2020 21:13:15 +0000 (13:13 -0800)]
abc9_ops: cleanup

4 years agoabc9_ops: discard $__ABC9_DELAY boxes
Eddie Hung [Tue, 14 Jan 2020 21:09:54 +0000 (13:09 -0800)]
abc9_ops: discard $__ABC9_DELAY boxes

4 years agowrite_xaiger: skip if no arrival times
Eddie Hung [Tue, 14 Jan 2020 21:05:39 +0000 (13:05 -0800)]
write_xaiger: skip if no arrival times

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Tue, 14 Jan 2020 20:57:56 +0000 (12:57 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required

4 years agoabc9_ops/write_xaiger: update doc
Eddie Hung [Tue, 14 Jan 2020 20:40:36 +0000 (12:40 -0800)]
abc9_ops/write_xaiger: update doc

4 years agoabc9_ops: -prep_holes -> -prep_xaiger, move padding to write_xaiger
Eddie Hung [Tue, 14 Jan 2020 20:25:45 +0000 (12:25 -0800)]
abc9_ops: -prep_holes -> -prep_xaiger, move padding to write_xaiger

4 years agoAdding (* techmap_autopurge *) to FD* in abc9_map.v
Eddie Hung [Tue, 14 Jan 2020 20:22:21 +0000 (12:22 -0800)]
Adding (* techmap_autopurge *) to FD* in abc9_map.v

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Tue, 14 Jan 2020 19:46:56 +0000 (11:46 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1633 from YosysHQ/eddie/fix_autoname
Eddie Hung [Tue, 14 Jan 2020 19:40:54 +0000 (11:40 -0800)]
Merge pull request #1633 from YosysHQ/eddie/fix_autoname

autoname: do not rename ports

4 years agoMerge pull request #1632 from YosysHQ/eddie/fix1630
Eddie Hung [Tue, 14 Jan 2020 19:40:40 +0000 (11:40 -0800)]
Merge pull request #1632 from YosysHQ/eddie/fix1630

read_aiger: uniquify wires with $aiger<autoidx> prefix

4 years agoAs before, only display MEM if Linux or FreeBSD
Eddie Hung [Tue, 14 Jan 2020 19:38:48 +0000 (11:38 -0800)]
As before, only display MEM if Linux or FreeBSD

4 years agosynth_xilinx: fix default W value for non-xc7
Eddie Hung [Tue, 14 Jan 2020 19:34:40 +0000 (11:34 -0800)]
synth_xilinx: fix default W value for non-xc7

4 years agoprint_stats footer to return peak memory, option for including children
Eddie Hung [Tue, 14 Jan 2020 19:25:23 +0000 (11:25 -0800)]
print_stats footer to return peak memory, option for including children

4 years agoMerge pull request #1623 from YosysHQ/mmicko/edif_attr
Miodrag Milanović [Tue, 14 Jan 2020 18:19:32 +0000 (19:19 +0100)]
Merge pull request #1623 from YosysHQ/mmicko/edif_attr

Export wire properties in EDIF

4 years agoautoname: do not autoname ports
Eddie Hung [Tue, 14 Jan 2020 18:13:29 +0000 (10:13 -0800)]
autoname: do not autoname ports

4 years agoautoname: add testcase with $-prefix-ed port
Eddie Hung [Tue, 14 Jan 2020 18:13:03 +0000 (10:13 -0800)]
autoname: add testcase with $-prefix-ed port

4 years agoread_aiger: also rename "$0"
Eddie Hung [Tue, 14 Jan 2020 17:01:53 +0000 (09:01 -0800)]
read_aiger: also rename "$0"

4 years agoabc9_ops: -break_scc -> -mark_scc using (* keep *), remove -unbreak_scc
Eddie Hung [Tue, 14 Jan 2020 07:42:27 +0000 (23:42 -0800)]
abc9_ops: -break_scc -> -mark_scc using (* keep *), remove -unbreak_scc

4 years agoabc9_ops: ignore inouts of all cell outputs for topo ordering
Eddie Hung [Tue, 14 Jan 2020 07:33:37 +0000 (23:33 -0800)]
abc9_ops: ignore inouts of all cell outputs for topo ordering

4 years agowrite_xaiger: fix case of PI and CI and (* keep *)
Eddie Hung [Tue, 14 Jan 2020 07:23:21 +0000 (23:23 -0800)]
write_xaiger: fix case of PI and CI and (* keep *)

4 years agoabc9: break SCC by setting (* keep *) on output wires
Eddie Hung [Tue, 14 Jan 2020 05:45:27 +0000 (21:45 -0800)]
abc9: break SCC by setting (* keep *) on output wires

4 years agoread_aiger: uniquify wires with $aiger<autoidx> prefix
Eddie Hung [Tue, 14 Jan 2020 05:28:27 +0000 (21:28 -0800)]
read_aiger: uniquify wires with $aiger<autoidx> prefix

4 years agoAdd #1630 testcase
Eddie Hung [Tue, 14 Jan 2020 05:27:53 +0000 (21:27 -0800)]
Add #1630 testcase

4 years agoabc9: add -run option
Eddie Hung [Tue, 14 Jan 2020 03:22:23 +0000 (19:22 -0800)]
abc9: add -run option

4 years agoabc9: respect (* keep *) on cells
Eddie Hung [Tue, 14 Jan 2020 03:21:11 +0000 (19:21 -0800)]
abc9: respect (* keep *) on cells

4 years agowrite_xaiger: add support and test for (* keep *) on wires
Eddie Hung [Tue, 14 Jan 2020 03:07:55 +0000 (19:07 -0800)]
write_xaiger: add support and test for (* keep *) on wires

4 years agoread_aiger: make $and/$not/$lut the prefix not suffix
Eddie Hung [Tue, 14 Jan 2020 01:34:37 +0000 (17:34 -0800)]
read_aiger: make $and/$not/$lut the prefix not suffix

4 years agowrite_xaiger: cache arrival times
Eddie Hung [Mon, 13 Jan 2020 17:50:50 +0000 (09:50 -0800)]
write_xaiger: cache arrival times

4 years agoabc9: log which module is being operated on
Eddie Hung [Mon, 13 Jan 2020 17:43:57 +0000 (09:43 -0800)]
abc9: log which module is being operated on

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Mon, 13 Jan 2020 17:22:42 +0000 (09:22 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor

4 years agoMerge pull request #1620 from YosysHQ/eddie/abc9_scratchpad
Eddie Hung [Mon, 13 Jan 2020 17:04:20 +0000 (09:04 -0800)]
Merge pull request #1620 from YosysHQ/eddie/abc9_scratchpad

abc9: add some scripts/options into "scratchpad"

4 years agoMerge pull request #1627 from YosysHQ/eddie/fix1626
Eddie Hung [Mon, 13 Jan 2020 16:17:34 +0000 (08:17 -0800)]
Merge pull request #1627 from YosysHQ/eddie/fix1626

synth_ice40: -abc2 to always use `abc` even if `-abc9`

4 years agoedif: Just ignore connections to 'z
Marcin Kościelnicki [Mon, 13 Jan 2020 13:49:31 +0000 (14:49 +0100)]
edif: Just ignore connections to 'z

Connecting a const 'z to a net should be equivalent to not connecting it
at all, so let's just ignore such connections on output.

4 years agoAdd #1626 testcase
Eddie Hung [Sun, 12 Jan 2020 23:21:26 +0000 (15:21 -0800)]
Add #1626 testcase

4 years agoMerge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required
Eddie Hung [Sun, 12 Jan 2020 23:19:41 +0000 (15:19 -0800)]
Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_required

4 years agosynth_ice40: -abc2 to always use `abc` even if `-abc9`
Eddie Hung [Sun, 12 Jan 2020 19:26:05 +0000 (11:26 -0800)]
synth_ice40: -abc2 to always use `abc` even if `-abc9`

4 years agoAnother conflict
Eddie Hung [Sun, 12 Jan 2020 02:57:25 +0000 (18:57 -0800)]
Another conflict

4 years agocleanup
Eddie Hung [Sun, 12 Jan 2020 01:28:24 +0000 (17:28 -0800)]
cleanup

4 years agoMerge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Eddie Hung [Sun, 12 Jan 2020 01:28:20 +0000 (17:28 -0800)]
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor