yosys.git
5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Tue, 26 Feb 2019 21:16:03 +0000 (13:16 -0800)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agoUncomment out more tests
Eddie Hung [Tue, 26 Feb 2019 20:18:48 +0000 (12:18 -0800)]
Uncomment out more tests

5 years agoabc9 -- multiple connections for inouts
Eddie Hung [Tue, 26 Feb 2019 20:18:28 +0000 (12:18 -0800)]
abc9 -- multiple connections for inouts

5 years agowrite_xaiger to behave for undriven/unused inouts
Eddie Hung [Tue, 26 Feb 2019 20:17:51 +0000 (12:17 -0800)]
write_xaiger to behave for undriven/unused inouts

5 years agoparse_xaiger() to really pass single and multi-bit inout tests
Eddie Hung [Tue, 26 Feb 2019 20:04:45 +0000 (12:04 -0800)]
parse_xaiger() to really pass single and multi-bit inout tests

5 years agoAdd IdString::ends_with()
Eddie Hung [Tue, 26 Feb 2019 20:04:16 +0000 (12:04 -0800)]
Add IdString::ends_with()

5 years agoEnable two inout tests
Eddie Hung [Tue, 26 Feb 2019 19:39:17 +0000 (11:39 -0800)]
Enable two inout tests

5 years agoparse_xaiger() to cope with multi bit inouts
Eddie Hung [Tue, 26 Feb 2019 19:37:34 +0000 (11:37 -0800)]
parse_xaiger() to cope with multi bit inouts

5 years agotechlibs/greenpak4/cells_map.v: Harmonize whitespace within lut module
Larry Doolittle [Mon, 25 Feb 2019 06:09:54 +0000 (22:09 -0800)]
techlibs/greenpak4/cells_map.v: Harmonize whitespace within lut module

5 years agoClean up some whitepsace outliers
Larry Doolittle [Mon, 25 Feb 2019 06:08:52 +0000 (22:08 -0800)]
Clean up some whitepsace outliers

5 years agoabc9 cleanup
Eddie Hung [Tue, 26 Feb 2019 02:40:53 +0000 (18:40 -0800)]
abc9 cleanup

5 years agoparse_xaiger() to untransform $inout.out output ports
Eddie Hung [Tue, 26 Feb 2019 02:40:23 +0000 (18:40 -0800)]
parse_xaiger() to untransform $inout.out output ports

5 years agowrite_xaiger duplicate inout port into out port with $inout.out suffix
Eddie Hung [Tue, 26 Feb 2019 02:39:36 +0000 (18:39 -0800)]
write_xaiger duplicate inout port into out port with $inout.out suffix

5 years agoread_aiger to accept empty string for clk_name, passable only if no latches
Eddie Hung [Mon, 25 Feb 2019 23:34:02 +0000 (15:34 -0800)]
read_aiger to accept empty string for clk_name, passable only if no latches

5 years agoabc9 not to clean after aigmap
Eddie Hung [Mon, 25 Feb 2019 23:31:52 +0000 (15:31 -0800)]
abc9 not to clean after aigmap

5 years agoCleanup abc9 code
Eddie Hung [Mon, 25 Feb 2019 23:20:56 +0000 (15:20 -0800)]
Cleanup abc9 code

5 years agoAdd broken testcases
Eddie Hung [Mon, 25 Feb 2019 23:06:23 +0000 (15:06 -0800)]
Add broken testcases

5 years agoabc9 to call "clean" once at the end of all abc9_module() calls
Eddie Hung [Mon, 25 Feb 2019 20:55:47 +0000 (12:55 -0800)]
abc9 to call "clean" once at the end of all abc9_module() calls

5 years agoMinor changes ontop of 71bcc4c: Remove hierarchy warning that is redundant to -check
Clifford Wolf [Sun, 24 Feb 2019 19:41:36 +0000 (20:41 +0100)]
Minor changes ontop of 71bcc4c: Remove hierarchy warning that is redundant to -check

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #812 from ucb-bar/arrayhierarchyfixes
Clifford Wolf [Sun, 24 Feb 2019 19:39:13 +0000 (11:39 -0800)]
Merge pull request #812 from ucb-bar/arrayhierarchyfixes

Define basic_cell_type() function and use it to derive the cell type …

5 years agoCleanups in ARST handling in wreduce
Clifford Wolf [Sun, 24 Feb 2019 19:34:23 +0000 (20:34 +0100)]
Cleanups in ARST handling in wreduce

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #824 from litghost/fix_reduce_on_ff
Clifford Wolf [Sun, 24 Feb 2019 19:29:14 +0000 (11:29 -0800)]
Merge pull request #824 from litghost/fix_reduce_on_ff

Fix WREDUCE on FF not fixing ARST_VALUE parameter.

5 years agoFix handling of defparam for when default_nettype is none
Clifford Wolf [Sun, 24 Feb 2019 19:09:41 +0000 (20:09 +0100)]
Fix handling of defparam for when default_nettype is none

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoCheck if Verific was built with DB_PRESERVE_INITIAL_VALUE
Clifford Wolf [Sun, 24 Feb 2019 18:51:30 +0000 (19:51 +0100)]
Check if Verific was built with DB_PRESERVE_INITIAL_VALUE

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAddress requested changes - don't require non-$ name.
Jim Lawson [Sat, 23 Feb 2019 00:06:10 +0000 (16:06 -0800)]
Address requested changes - don't require non-$ name.
Suppress warning if name does begin with a `$`.
Fix hierachy tests so they have something to grep.
Announce hierarchy test types.

5 years agoFix WREDUCE on FF not fixing ARST_VALUE parameter.
Keith Rothman [Fri, 22 Feb 2019 18:28:28 +0000 (10:28 -0800)]
Fix WREDUCE on FF not fixing ARST_VALUE parameter.

Adds test case that fails without code change.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoMerge pull request #819 from YosysHQ/clifford/optd
Clifford Wolf [Fri, 22 Feb 2019 05:55:48 +0000 (06:55 +0100)]
Merge pull request #819 from YosysHQ/clifford/optd

Rename "yosys -D" to "yosys -U", add "yosys -D" with expected behavior

5 years agoMerge pull request #820 from YosysHQ/clifford/fix810
Clifford Wolf [Fri, 22 Feb 2019 05:54:48 +0000 (06:54 +0100)]
Merge pull request #820 from YosysHQ/clifford/fix810

Fix #810 and fix #814

5 years agoabc9 to use AIGER symbol table, as opposed to map file
Eddie Hung [Fri, 22 Feb 2019 01:03:40 +0000 (17:03 -0800)]
abc9 to use AIGER symbol table, as opposed to map file

5 years agowrite_xaiger to write __dummy_o__ for -symbols too
Eddie Hung [Fri, 22 Feb 2019 01:03:18 +0000 (17:03 -0800)]
write_xaiger to write __dummy_o__ for -symbols too

5 years agoread_aiger to work with symbol table
Eddie Hung [Fri, 22 Feb 2019 01:01:07 +0000 (17:01 -0800)]
read_aiger to work with symbol table

5 years agoMerge pull request #740 from daveshah1/improve_dress
Clifford Wolf [Fri, 22 Feb 2019 00:16:34 +0000 (01:16 +0100)]
Merge pull request #740 from daveshah1/improve_dress

Improve ABC netname preservation

5 years agoFix Travis
Clifford Wolf [Thu, 21 Feb 2019 22:13:14 +0000 (23:13 +0100)]
Fix Travis

It looks like that whole "Fixing Travis's git clone" code was just
there to make the "git describe --tags" work. I simply removed both.

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRevert "abc9 to write_xaiger -symbols, not -map"
Eddie Hung [Thu, 21 Feb 2019 22:58:40 +0000 (14:58 -0800)]
Revert "abc9 to write_xaiger -symbols, not -map"

This reverts commit 04429f8152ae64de050580ec20db60ac6dc1c0e1.

5 years agoRemove irrelevant citations
Eddie Hung [Thu, 21 Feb 2019 22:41:11 +0000 (14:41 -0800)]
Remove irrelevant citations

5 years agoAdd attribution
Eddie Hung [Thu, 21 Feb 2019 22:40:13 +0000 (14:40 -0800)]
Add attribution

5 years agoabc9 to not select anything extra, and pop selection after final clean
Eddie Hung [Thu, 21 Feb 2019 22:38:52 +0000 (14:38 -0800)]
abc9 to not select anything extra, and pop selection after final clean

5 years agoabc9 to write_xaiger -symbols, not -map
Eddie Hung [Thu, 21 Feb 2019 22:28:36 +0000 (14:28 -0800)]
abc9 to write_xaiger -symbols, not -map

5 years agoMerge branch 'read_aiger' into xaig
Eddie Hung [Thu, 21 Feb 2019 22:27:32 +0000 (14:27 -0800)]
Merge branch 'read_aiger' into xaig

5 years agoMerge branch 'read_aiger' of https://github.com/eddiehung/yosys into read_aiger
Eddie Hung [Thu, 21 Feb 2019 22:17:48 +0000 (14:17 -0800)]
Merge branch 'read_aiger' of https://github.com/eddiehung/yosys into read_aiger

5 years agoMerge branch 'read_aiger' into xaig
Eddie Hung [Thu, 21 Feb 2019 22:14:28 +0000 (14:14 -0800)]
Merge branch 'read_aiger' into xaig

5 years agoabc9 to use &mfs
Eddie Hung [Thu, 21 Feb 2019 21:16:24 +0000 (13:16 -0800)]
abc9 to use &mfs

5 years agoRevert "tests/simple to also do LUT synth"
Eddie Hung [Thu, 21 Feb 2019 21:15:45 +0000 (13:15 -0800)]
Revert "tests/simple to also do LUT synth"

This reverts commit 5994382a20a0b7e890d22d032eecb39b61e0b3ce.

5 years agoMerge remote-tracking branch 'origin/master' into xaig
Eddie Hung [Thu, 21 Feb 2019 19:23:00 +0000 (11:23 -0800)]
Merge remote-tracking branch 'origin/master' into xaig

5 years agotests/simple to also do LUT synth
Eddie Hung [Thu, 21 Feb 2019 19:16:57 +0000 (11:16 -0800)]
tests/simple to also do LUT synth

5 years agoWorking simple_abc9 tests
Eddie Hung [Thu, 21 Feb 2019 19:16:25 +0000 (11:16 -0800)]
Working simple_abc9 tests

5 years agoabc9 to only disconnect output ports of AND and NOT gates
Eddie Hung [Thu, 21 Feb 2019 19:15:47 +0000 (11:15 -0800)]
abc9 to only disconnect output ports of AND and NOT gates

5 years agowrite_xaiger to use original bit for co, not sigmap()-ed bit
Eddie Hung [Thu, 21 Feb 2019 19:15:25 +0000 (11:15 -0800)]
write_xaiger to use original bit for co, not sigmap()-ed bit

5 years agoAdd abc9.v testcase to simple_abc9
Eddie Hung [Thu, 21 Feb 2019 18:37:45 +0000 (10:37 -0800)]
Add abc9.v testcase to simple_abc9

5 years agoHotfix for 4c82ddf
Clifford Wolf [Thu, 21 Feb 2019 18:27:23 +0000 (19:27 +0100)]
Hotfix for 4c82ddf

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #822 from litghost/expand_setundef
Clifford Wolf [Thu, 21 Feb 2019 18:24:16 +0000 (19:24 +0100)]
Merge pull request #822 from litghost/expand_setundef

Add -params mode to force undef parameters in selected cells.

5 years agoAdd -params mode to force undef parameters in selected cells.
Keith Rothman [Thu, 21 Feb 2019 18:16:38 +0000 (10:16 -0800)]
Add -params mode to force undef parameters in selected cells.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoMerge pull request #818 from YosysHQ/clifford/dffsrfix
Clifford Wolf [Thu, 21 Feb 2019 17:58:44 +0000 (18:58 +0100)]
Merge pull request #818 from YosysHQ/clifford/dffsrfix

Fix opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_, fixes #816

5 years agoMerge pull request #786 from YosysHQ/pmgen
Clifford Wolf [Thu, 21 Feb 2019 17:56:01 +0000 (18:56 +0100)]
Merge pull request #786 from YosysHQ/pmgen

Pattern Matcher Generator and iCE40 DSP Mapper

5 years agoFix typo in passes/pmgen/README.md
Clifford Wolf [Thu, 21 Feb 2019 17:50:02 +0000 (18:50 +0100)]
Fix typo in passes/pmgen/README.md

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #821 from eddiehung/dff_init
Clifford Wolf [Thu, 21 Feb 2019 17:46:58 +0000 (18:46 +0100)]
Merge pull request #821 from eddiehung/dff_init

Revert "Add -B option to autotest.sh to append to backend_opts"

5 years agoFixes related to handling of autowires and upto-ranges, fixes #814
Clifford Wolf [Thu, 21 Feb 2019 17:40:11 +0000 (18:40 +0100)]
Fixes related to handling of autowires and upto-ranges, fixes #814

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'clifford/dffsrfix' of https://github.com/YosysHQ/yosys into xaig
Eddie Hung [Thu, 21 Feb 2019 17:31:17 +0000 (09:31 -0800)]
Merge branch 'clifford/dffsrfix' of https://github.com/YosysHQ/yosys into xaig

5 years agoRevert "Add -B option to autotest.sh to append to backend_opts"
Eddie Hung [Thu, 21 Feb 2019 17:22:29 +0000 (09:22 -0800)]
Revert "Add -B option to autotest.sh to append to backend_opts"

This reverts commit 281f2aadcab01465f83a3f3a697eec42503e9f8b.

5 years agoFix handling of expression width in $past, fixes #810
Clifford Wolf [Thu, 21 Feb 2019 16:55:33 +0000 (17:55 +0100)]
Fix handling of expression width in $past, fixes #810

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix segfault in printing of some internal error messages
Clifford Wolf [Thu, 21 Feb 2019 16:36:51 +0000 (17:36 +0100)]
Fix segfault in printing of some internal error messages

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRename "yosys -U" to "yosys -P" to avoid confusion about "undefine"
Clifford Wolf [Thu, 21 Feb 2019 14:51:59 +0000 (15:51 +0100)]
Rename "yosys -U" to "yosys -P" to avoid confusion about "undefine"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRename "yosys -D" to "yosys -U", add "yosys -D" with expected behavior
Clifford Wolf [Thu, 21 Feb 2019 13:27:46 +0000 (14:27 +0100)]
Rename "yosys -D" to "yosys -U", add "yosys -D" with expected behavior

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoFix opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_, fixes #816
Clifford Wolf [Thu, 21 Feb 2019 12:48:23 +0000 (13:48 +0100)]
Fix opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_, fixes #816

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoBugfix in ice40_dsp
Clifford Wolf [Thu, 21 Feb 2019 12:28:46 +0000 (13:28 +0100)]
Bugfix in ice40_dsp

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoABC -> ABC9
Eddie Hung [Thu, 21 Feb 2019 01:36:57 +0000 (17:36 -0800)]
ABC -> ABC9

5 years agoabc9 to disconnect mapped_mods POs correctly, and do not count $_NOT_
Eddie Hung [Thu, 21 Feb 2019 01:33:35 +0000 (17:33 -0800)]
abc9 to disconnect mapped_mods POs correctly, and do not count $_NOT_

5 years agoread_aiger to not do -purge for clean
Eddie Hung [Thu, 21 Feb 2019 01:33:04 +0000 (17:33 -0800)]
read_aiger to not do -purge for clean

5 years agoMerge pull request #817 from eddiehung/dff_init
Eddie Hung [Thu, 21 Feb 2019 01:26:56 +0000 (17:26 -0800)]
Merge pull request #817 from eddiehung/dff_init

Cleanup #805

5 years agolut/not/and suffix to be ${lut,not,and}
Eddie Hung [Thu, 21 Feb 2019 00:30:30 +0000 (16:30 -0800)]
lut/not/and suffix to be ${lut,not,and}

5 years agosimple_abc9 tests to now preserve memories
Eddie Hung [Thu, 21 Feb 2019 00:19:01 +0000 (16:19 -0800)]
simple_abc9 tests to now preserve memories

5 years agoread_aiger to also rename 0 index lut when wideports
Eddie Hung [Thu, 21 Feb 2019 00:17:22 +0000 (16:17 -0800)]
read_aiger to also rename 0 index lut when wideports

5 years agoRemove swap file
Eddie Hung [Thu, 21 Feb 2019 00:17:01 +0000 (16:17 -0800)]
Remove swap file

5 years agoRemove simple_defparam tests
Eddie Hung [Wed, 20 Feb 2019 23:45:45 +0000 (15:45 -0800)]
Remove simple_defparam tests

5 years agowrite_aiger: fix CI/CO and symbols
Eddie Hung [Wed, 20 Feb 2019 23:35:32 +0000 (15:35 -0800)]
write_aiger: fix CI/CO and symbols

5 years agoMove tests/techmap/abc9 to simple_abc9
Eddie Hung [Wed, 20 Feb 2019 23:34:59 +0000 (15:34 -0800)]
Move tests/techmap/abc9 to simple_abc9

5 years agoAdd tests/simple_abc9
Eddie Hung [Wed, 20 Feb 2019 23:31:35 +0000 (15:31 -0800)]
Add tests/simple_abc9

5 years agoabc9 to cope with multiple modules
Eddie Hung [Wed, 20 Feb 2019 20:56:15 +0000 (12:56 -0800)]
abc9 to cope with multiple modules

5 years agoabc9 to use & syntax for -fast, and name fixes
Eddie Hung [Wed, 20 Feb 2019 20:40:17 +0000 (12:40 -0800)]
abc9 to use & syntax for -fast, and name fixes

5 years agoread_aiger: new naming fixes
Eddie Hung [Wed, 20 Feb 2019 20:39:51 +0000 (12:39 -0800)]
read_aiger: new naming fixes

5 years agoread_aiger to name wires with internal name, less likely to clash
Eddie Hung [Wed, 20 Feb 2019 19:22:56 +0000 (11:22 -0800)]
read_aiger to name wires with internal name, less likely to clash

5 years agowrite_xaiger to not write latches, CO/PO fixes
Eddie Hung [Wed, 20 Feb 2019 19:09:13 +0000 (11:09 -0800)]
write_xaiger to not write latches, CO/PO fixes

5 years agosynth to take -abc9 argument
Eddie Hung [Wed, 20 Feb 2019 19:08:49 +0000 (11:08 -0800)]
synth to take -abc9 argument

5 years agoAdd ice40 test_dsp_map test case generator
Clifford Wolf [Wed, 20 Feb 2019 16:18:59 +0000 (17:18 +0100)]
Add ice40 test_dsp_map test case generator

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd "synth_ice40 -dsp"
Clifford Wolf [Wed, 20 Feb 2019 15:42:27 +0000 (16:42 +0100)]
Add "synth_ice40 -dsp"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd FF support to wreduce
Clifford Wolf [Wed, 20 Feb 2019 15:36:42 +0000 (16:36 +0100)]
Add FF support to wreduce

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoImprove iCE40 SB_MAC16 model
Clifford Wolf [Wed, 20 Feb 2019 11:55:20 +0000 (12:55 +0100)]
Improve iCE40 SB_MAC16 model

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoDetect and reject cases that do not map well to iCE40 DSPs (yet)
Clifford Wolf [Wed, 20 Feb 2019 10:18:19 +0000 (11:18 +0100)]
Detect and reject cases that do not map well to iCE40 DSPs (yet)

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoabc9 to cope with indexed wires when creating $lut from $_NOT_
Eddie Hung [Wed, 20 Feb 2019 00:06:03 +0000 (16:06 -0800)]
abc9 to cope with indexed wires when creating $lut from $_NOT_

5 years agoAdd aiger tests to make tests
Eddie Hung [Tue, 19 Feb 2019 23:25:47 +0000 (15:25 -0800)]
Add aiger tests to make tests

5 years agoAdd a quick abc9 test
Eddie Hung [Tue, 19 Feb 2019 23:25:03 +0000 (15:25 -0800)]
Add a quick abc9 test

5 years agoSame for ascii AIGERs too
Eddie Hung [Tue, 19 Feb 2019 23:15:50 +0000 (15:15 -0800)]
Same for ascii AIGERs too

5 years agoread_aiger to cope with non-unique POs
Eddie Hung [Tue, 19 Feb 2019 23:14:08 +0000 (15:14 -0800)]
read_aiger to cope with non-unique POs

5 years agoFix normal (non-array) hierarchy -auto-top.
Jim Lawson [Tue, 19 Feb 2019 22:35:15 +0000 (14:35 -0800)]
Fix normal (non-array) hierarchy -auto-top.
Add simple test.

5 years agoMerge branch 'master' into xaig
Eddie Hung [Tue, 19 Feb 2019 22:20:04 +0000 (14:20 -0800)]
Merge branch 'master' into xaig

5 years agoMerge branch 'master' into read_aiger
Eddie Hung [Tue, 19 Feb 2019 20:36:10 +0000 (12:36 -0800)]
Merge branch 'master' into read_aiger

5 years agoMerge branch 'master' into read_aiger
Eddie Hung [Tue, 19 Feb 2019 20:33:22 +0000 (12:33 -0800)]
Merge branch 'master' into read_aiger

5 years agoMerge pull request #805 from eddiehung/dff_init
Eddie Hung [Tue, 19 Feb 2019 20:32:40 +0000 (12:32 -0800)]
Merge pull request #805 from eddiehung/dff_init

write_verilog to write initial statement for initial flop state

5 years agoabc9 to replace $_NOT_ with $lut
Eddie Hung [Tue, 19 Feb 2019 20:30:20 +0000 (12:30 -0800)]
abc9 to replace $_NOT_ with $lut

5 years agoread_aiger to create sane $lut names, and rename when renaming driving wire
Eddie Hung [Tue, 19 Feb 2019 20:27:50 +0000 (12:27 -0800)]
read_aiger to create sane $lut names, and rename when renaming driving wire