yosys.git
4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 18 Sep 2019 19:40:21 +0000 (12:40 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoMerge pull request #1355 from YosysHQ/eddie/peepopt_dffmuxext
Eddie Hung [Wed, 18 Sep 2019 19:40:08 +0000 (12:40 -0700)]
Merge pull request #1355 from YosysHQ/eddie/peepopt_dffmuxext

peepopt_dffmux -- bit optimisations for word level $dff + (enable/reset) $mux cells

4 years agoAdd doc on pattern detector for overflow
Eddie Hung [Wed, 18 Sep 2019 19:35:24 +0000 (12:35 -0700)]
Add doc on pattern detector for overflow

4 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 18 Sep 2019 19:23:22 +0000 (12:23 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

4 years agoFix copy-paste
Eddie Hung [Wed, 18 Sep 2019 19:19:16 +0000 (12:19 -0700)]
Fix copy-paste

4 years agoCheck overflow condition is power of 2 without using int32
Eddie Hung [Wed, 18 Sep 2019 19:16:03 +0000 (12:16 -0700)]
Check overflow condition is power of 2 without using int32

4 years agoAdd .gitignore
Eddie Hung [Wed, 18 Sep 2019 19:11:33 +0000 (12:11 -0700)]
Add .gitignore

4 years agoRefine macc testcase
Eddie Hung [Wed, 18 Sep 2019 19:07:25 +0000 (12:07 -0700)]
Refine macc testcase

4 years agoMis-spell
Eddie Hung [Wed, 18 Sep 2019 18:12:46 +0000 (11:12 -0700)]
Mis-spell

4 years agoAdd pattern detection support for DSP48E1 model, check against vendor
Eddie Hung [Wed, 18 Sep 2019 17:45:04 +0000 (10:45 -0700)]
Add pattern detection support for DSP48E1 model, check against vendor

4 years agoMerge pull request #1379 from mmicko/sim_models
Eddie Hung [Wed, 18 Sep 2019 17:04:27 +0000 (10:04 -0700)]
Merge pull request #1379 from mmicko/sim_models

Added simulation models for Efinix and Anlogic

4 years agoAdd support for overflow using pattern detector
Eddie Hung [Wed, 18 Sep 2019 16:39:59 +0000 (09:39 -0700)]
Add support for overflow using pattern detector

4 years agoSeparate dffrstmux from dffcemux, fix typos
Eddie Hung [Wed, 18 Sep 2019 16:34:42 +0000 (09:34 -0700)]
Separate dffrstmux from dffcemux, fix typos

4 years agomake note that it is for latch mode
Miodrag Milanovic [Wed, 18 Sep 2019 15:48:16 +0000 (17:48 +0200)]
make note that it is for latch mode

4 years agobetter lut handling
Miodrag Milanovic [Wed, 18 Sep 2019 15:45:19 +0000 (17:45 +0200)]
better lut handling

4 years agobetter handling of lut and begin/end add
Miodrag Milanovic [Wed, 18 Sep 2019 15:45:07 +0000 (17:45 +0200)]
better handling of lut and begin/end add

4 years agoAdd "write_aiger -L"
Clifford Wolf [Wed, 18 Sep 2019 11:33:02 +0000 (13:33 +0200)]
Add "write_aiger -L"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoFix stupid bug in btor back-end
Clifford Wolf [Wed, 18 Sep 2019 09:56:14 +0000 (11:56 +0200)]
Fix stupid bug in btor back-end

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoBump version
Clifford Wolf [Mon, 16 Sep 2019 11:05:41 +0000 (13:05 +0200)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1380 from YosysHQ/clifford/fix1372
Clifford Wolf [Mon, 16 Sep 2019 11:05:02 +0000 (13:05 +0200)]
Merge pull request #1380 from YosysHQ/clifford/fix1372

Fix handling of range selects on loop variables

4 years agoFix handling of range selects on loop variables, fixes #1372
Clifford Wolf [Mon, 16 Sep 2019 09:25:16 +0000 (11:25 +0200)]
Fix handling of range selects on loop variables, fixes #1372

Signed-off-by: Clifford Wolf <clifford@clifford.at>
4 years agoMerge pull request #1374 from YosysHQ/eddie/fix1371
Eddie Hung [Sun, 15 Sep 2019 20:56:07 +0000 (13:56 -0700)]
Merge pull request #1374 from YosysHQ/eddie/fix1371

Fix two non-deterministic behaviours that cause divergence between compilers

4 years agoxilinx: Make blackbox library family-dependent.
Marcin Kościelnicki [Sun, 15 Sep 2019 00:49:53 +0000 (00:49 +0000)]
xilinx: Make blackbox library family-dependent.

Fixes #1246.

4 years agoMerge pull request #1377 from YosysHQ/clifford/fixzdigit
Clifford Wolf [Sun, 15 Sep 2019 09:04:31 +0000 (11:04 +0200)]
Merge pull request #1377 from YosysHQ/clifford/fixzdigit

Fix handling of z_digit "?" and fix optimization of cmp with "z"

4 years agoAdded simulation models for Efinix and Anlogic
Miodrag Milanovic [Sun, 15 Sep 2019 07:37:16 +0000 (09:37 +0200)]
Added simulation models for Efinix and Anlogic

4 years agoOops
Eddie Hung [Sat, 14 Sep 2019 01:19:07 +0000 (18:19 -0700)]
Oops

4 years agoAdd `undef DSP48E1_INST
Eddie Hung [Sat, 14 Sep 2019 00:07:18 +0000 (17:07 -0700)]
Add `undef DSP48E1_INST

4 years agoAdd counter-example from @cliffordwolf
Eddie Hung [Fri, 13 Sep 2019 23:41:10 +0000 (16:41 -0700)]
Add counter-example from @cliffordwolf

4 years agoRevert "Make one check $shift(x)? only; change testcase to be 8b"
Eddie Hung [Fri, 13 Sep 2019 23:33:18 +0000 (16:33 -0700)]
Revert "Make one check $shift(x)? only; change testcase to be 8b"

This reverts commit e2c2d784c8217e4bcf29fb6b156b6a8285036b80.

4 years agoSpacing
Eddie Hung [Fri, 13 Sep 2019 23:30:44 +0000 (16:30 -0700)]
Spacing

4 years agoExplicitly order function arguments
Eddie Hung [Fri, 13 Sep 2019 23:18:05 +0000 (16:18 -0700)]
Explicitly order function arguments

4 years agoFix D -> P{,COUT} delay
Eddie Hung [Fri, 13 Sep 2019 20:32:55 +0000 (13:32 -0700)]
Fix D -> P{,COUT} delay

4 years agoAdd no MULT no DPORT config
Eddie Hung [Fri, 13 Sep 2019 19:05:14 +0000 (12:05 -0700)]
Add no MULT no DPORT config

4 years agoAdd support for MULT and DPORT
Eddie Hung [Fri, 13 Sep 2019 18:45:55 +0000 (11:45 -0700)]
Add support for MULT and DPORT

4 years agoUse template specialisation
Eddie Hung [Fri, 13 Sep 2019 18:13:57 +0000 (11:13 -0700)]
Use template specialisation

4 years agoRevert "SigSet<Cell*> to use stable compare class"
Eddie Hung [Fri, 13 Sep 2019 16:49:15 +0000 (09:49 -0700)]
Revert "SigSet<Cell*> to use stable compare class"

This reverts commit 4ea34aaacdf6f76e11a83d5eb2a53ba7e75f7c11.

4 years agoRefine diagram
Eddie Hung [Fri, 13 Sep 2019 16:34:40 +0000 (09:34 -0700)]
Refine diagram

5 years agoFix handling of z_digit "?" and fix optimization of cmp with "z"
Clifford Wolf [Fri, 13 Sep 2019 11:39:39 +0000 (13:39 +0200)]
Fix handling of z_digit "?" and fix optimization of cmp with "z"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #1373 from YosysHQ/clifford/fix1364
Clifford Wolf [Fri, 13 Sep 2019 08:22:34 +0000 (10:22 +0200)]
Merge pull request #1373 from YosysHQ/clifford/fix1364

Fix lexing of integer literals

5 years agoFix lexing of integer literals without radix
Clifford Wolf [Fri, 13 Sep 2019 08:19:58 +0000 (10:19 +0200)]
Fix lexing of integer literals without radix

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd an ASCII drawing
Eddie Hung [Fri, 13 Sep 2019 01:13:46 +0000 (18:13 -0700)]
Add an ASCII drawing

5 years agoFinish explanation
Eddie Hung [Fri, 13 Sep 2019 01:01:49 +0000 (18:01 -0700)]
Finish explanation

5 years agoRename to techmap_guard
Eddie Hung [Fri, 13 Sep 2019 00:45:02 +0000 (17:45 -0700)]
Rename to techmap_guard

5 years agoInitial DSP48E1 box support
Eddie Hung [Fri, 13 Sep 2019 00:11:01 +0000 (17:11 -0700)]
Initial DSP48E1 box support

5 years agoSet more ports explicitly
Eddie Hung [Fri, 13 Sep 2019 00:10:43 +0000 (17:10 -0700)]
Set more ports explicitly

5 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Thu, 12 Sep 2019 19:11:11 +0000 (12:11 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

5 years agoGrammar
Eddie Hung [Thu, 12 Sep 2019 19:00:34 +0000 (12:00 -0700)]
Grammar

5 years agostatic_assert to enforce this going forward
Eddie Hung [Thu, 12 Sep 2019 18:45:17 +0000 (11:45 -0700)]
static_assert to enforce this going forward

5 years agoSigSet<Cell*> to use stable compare class
Eddie Hung [Thu, 12 Sep 2019 18:45:02 +0000 (11:45 -0700)]
SigSet<Cell*> to use stable compare class

5 years agoMerge pull request #1370 from YosysHQ/dave/equiv_opt_multiclock
David Shah [Thu, 12 Sep 2019 11:26:28 +0000 (12:26 +0100)]
Merge pull request #1370 from YosysHQ/dave/equiv_opt_multiclock

Add equiv_opt -multiclock

5 years agoFix lexing of integer literals, fixes #1364
Clifford Wolf [Thu, 12 Sep 2019 07:43:19 +0000 (09:43 +0200)]
Fix lexing of integer literals, fixes #1364

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAdd support for A1 and B1 registers
Eddie Hung [Thu, 12 Sep 2019 00:16:46 +0000 (17:16 -0700)]
Add support for A1 and B1 registers

5 years agoRaise a RuntimeError instead of AssertionError
Eddie Hung [Thu, 12 Sep 2019 00:06:37 +0000 (17:06 -0700)]
Raise a RuntimeError instead of AssertionError

5 years agoAdd AREG=2 BREG=2 test
Eddie Hung [Thu, 12 Sep 2019 00:05:47 +0000 (17:05 -0700)]
Add AREG=2 BREG=2 test

5 years agoRename {A,B} -> {A2,B2}
Eddie Hung [Wed, 11 Sep 2019 23:21:24 +0000 (16:21 -0700)]
Rename {A,B} -> {A2,B2}

5 years agoTidy up
Eddie Hung [Wed, 11 Sep 2019 21:20:49 +0000 (14:20 -0700)]
Tidy up

5 years agoFix UB
Eddie Hung [Wed, 11 Sep 2019 21:17:45 +0000 (14:17 -0700)]
Fix UB

5 years agoFix UB
Eddie Hung [Wed, 11 Sep 2019 21:17:45 +0000 (14:17 -0700)]
Fix UB

5 years agoAdd PCOUT -> PCIN non-shifted cascading
Eddie Hung [Wed, 11 Sep 2019 20:48:45 +0000 (13:48 -0700)]
Add PCOUT -> PCIN non-shifted cascading

5 years agoMerge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
Eddie Hung [Wed, 11 Sep 2019 20:37:11 +0000 (13:37 -0700)]
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp

5 years agoCope with presence of reset muxes too
Eddie Hung [Wed, 11 Sep 2019 20:36:37 +0000 (13:36 -0700)]
Cope with presence of reset muxes too

5 years agoCleanup
Eddie Hung [Wed, 11 Sep 2019 20:22:52 +0000 (13:22 -0700)]
Cleanup

5 years agoAdd more tests
Eddie Hung [Wed, 11 Sep 2019 20:22:41 +0000 (13:22 -0700)]
Add more tests

5 years agoMissing space
Eddie Hung [Wed, 11 Sep 2019 20:06:59 +0000 (13:06 -0700)]
Missing space

5 years agoMake unextend a udata
Eddie Hung [Wed, 11 Sep 2019 20:06:49 +0000 (13:06 -0700)]
Make unextend a udata

5 years agoOnly display log message if did_something
Eddie Hung [Wed, 11 Sep 2019 19:29:26 +0000 (12:29 -0700)]
Only display log message if did_something

5 years agoInput registers to add DSP as new siguser to block upstream packing
Eddie Hung [Wed, 11 Sep 2019 18:46:21 +0000 (11:46 -0700)]
Input registers to add DSP as new siguser to block upstream packing

5 years agoMore cleanup
Eddie Hung [Wed, 11 Sep 2019 17:55:45 +0000 (10:55 -0700)]
More cleanup

5 years agoAdd -match-init option to dff2dffs.
Marcin Kościelnicki [Tue, 10 Sep 2019 16:31:50 +0000 (16:31 +0000)]
Add -match-init option to dff2dffs.

5 years agoAdd support for A/B/C/D/AD reset
Eddie Hung [Wed, 11 Sep 2019 17:15:19 +0000 (10:15 -0700)]
Add support for A/B/C/D/AD reset

5 years agoUpdate test with a/b reset
Eddie Hung [Wed, 11 Sep 2019 17:13:13 +0000 (10:13 -0700)]
Update test with a/b reset

5 years agoExtend test for RSTP and RSTM
Eddie Hung [Wed, 11 Sep 2019 16:09:08 +0000 (09:09 -0700)]
Extend test for RSTP and RSTM

5 years agoAdd support for RSTM
Eddie Hung [Wed, 11 Sep 2019 14:34:14 +0000 (07:34 -0700)]
Add support for RSTM

5 years agoAdd equiv_opt -multiclock
David Shah [Wed, 11 Sep 2019 12:55:16 +0000 (13:55 +0100)]
Add equiv_opt -multiclock

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMerge pull request #1362 from xobs/smtbmc-msvc2-build-fixes
David Shah [Wed, 11 Sep 2019 08:57:30 +0000 (09:57 +0100)]
Merge pull request #1362 from xobs/smtbmc-msvc2-build-fixes

MSVC2 fixes

5 years agoMerge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
Eddie Hung [Wed, 11 Sep 2019 07:57:25 +0000 (00:57 -0700)]
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp

5 years agoRename dffmuxext -> dffmux, also remove constants in dff+mux
Eddie Hung [Wed, 11 Sep 2019 07:56:38 +0000 (00:56 -0700)]
Rename dffmuxext -> dffmux, also remove constants in dff+mux

5 years agoproc instead of prep
Eddie Hung [Wed, 11 Sep 2019 07:14:06 +0000 (00:14 -0700)]
proc instead of prep

5 years agoMerge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
Eddie Hung [Wed, 11 Sep 2019 07:07:33 +0000 (00:07 -0700)]
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp

5 years agoAdd unsigned case
Eddie Hung [Wed, 11 Sep 2019 07:07:17 +0000 (00:07 -0700)]
Add unsigned case

5 years agoMerge remote-tracking branch 'origin/master' into xc7dsp
Eddie Hung [Wed, 11 Sep 2019 07:01:31 +0000 (00:01 -0700)]
Merge remote-tracking branch 'origin/master' into xc7dsp

5 years agoOnly pack out registers if \init is zero or x; then remove \init from PREG
Eddie Hung [Wed, 11 Sep 2019 04:33:14 +0000 (21:33 -0700)]
Only pack out registers if \init is zero or x; then remove \init from PREG

5 years agoFix RSTP
Eddie Hung [Wed, 11 Sep 2019 03:56:13 +0000 (20:56 -0700)]
Fix RSTP

5 years agoAdd support for RSTP
Eddie Hung [Wed, 11 Sep 2019 03:51:48 +0000 (20:51 -0700)]
Add support for RSTP

5 years agoenpol -> cepol
Eddie Hung [Wed, 11 Sep 2019 01:59:03 +0000 (18:59 -0700)]
enpol -> cepol

5 years agod?ffmux -> d?ffcemux
Eddie Hung [Wed, 11 Sep 2019 01:52:54 +0000 (18:52 -0700)]
d?ffmux -> d?ffcemux

5 years agoRefactor MREG and PREG to out_dffe subpattern
Eddie Hung [Wed, 11 Sep 2019 01:27:05 +0000 (18:27 -0700)]
Refactor MREG and PREG to out_dffe subpattern

5 years agoUpdate help text
Eddie Hung [Tue, 10 Sep 2019 23:35:10 +0000 (16:35 -0700)]
Update help text

5 years agoUpdate xilinx_dsp help text
Eddie Hung [Tue, 10 Sep 2019 23:33:13 +0000 (16:33 -0700)]
Update xilinx_dsp help text

5 years agoUpdate CHANGELOG
Eddie Hung [Tue, 10 Sep 2019 23:14:26 +0000 (16:14 -0700)]
Update CHANGELOG

5 years agoMove "(skip if -nodsp)" message to label
Eddie Hung [Tue, 10 Sep 2019 22:26:56 +0000 (15:26 -0700)]
Move "(skip if -nodsp)" message to label

5 years agoBe sensitive to signedness
Eddie Hung [Tue, 10 Sep 2019 22:14:55 +0000 (15:14 -0700)]
Be sensitive to signedness

5 years agoReally get rid of 'opt_expr -fine' by being explicit
Eddie Hung [Tue, 10 Sep 2019 21:26:12 +0000 (14:26 -0700)]
Really get rid of 'opt_expr -fine' by being explicit

5 years agoRemove wreduce call
Eddie Hung [Tue, 10 Sep 2019 21:17:35 +0000 (14:17 -0700)]
Remove wreduce call

5 years agoAdd comment for why opt_expr is necessary
Eddie Hung [Tue, 10 Sep 2019 21:11:56 +0000 (14:11 -0700)]
Add comment for why opt_expr is necessary

5 years agoRevert "Remove "opt_expr -fine" call"
Eddie Hung [Tue, 10 Sep 2019 21:09:21 +0000 (14:09 -0700)]
Revert "Remove "opt_expr -fine" call"

This reverts commit bfda921d0317bfb4cb6fc9de8a556c2258b709bc.

5 years agoRename label to map_dsp
Eddie Hung [Tue, 10 Sep 2019 20:18:10 +0000 (13:18 -0700)]
Rename label to map_dsp

5 years agoRemove "opt_expr -fine" call
Eddie Hung [Tue, 10 Sep 2019 20:17:47 +0000 (13:17 -0700)]
Remove "opt_expr -fine" call

5 years agoBump version
Clifford Wolf [Tue, 10 Sep 2019 16:42:45 +0000 (18:42 +0200)]
Bump version

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoOops
Eddie Hung [Tue, 10 Sep 2019 05:06:23 +0000 (22:06 -0700)]
Oops