yosys.git
5 years agoFix abc's remap_name to not ignore [^0-9] when extracting sid
Eddie Hung [Thu, 18 Apr 2019 16:55:03 +0000 (09:55 -0700)]
Fix abc's remap_name to not ignore [^0-9] when extracting sid

5 years agoABC to call retime all the time
Eddie Hung [Thu, 18 Apr 2019 15:46:41 +0000 (08:46 -0700)]
ABC to call retime all the time

5 years agoAdd "whitebox" attribute, add "read_verilog -wb"
Clifford Wolf [Thu, 18 Apr 2019 15:42:12 +0000 (17:42 +0200)]
Add "whitebox" attribute, add "read_verilog -wb"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoRevert "synth_* with -retime option now calls abc with -D 1 as well"
Eddie Hung [Thu, 18 Apr 2019 14:59:16 +0000 (07:59 -0700)]
Revert "synth_* with -retime option now calls abc with -D 1 as well"

This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a.

5 years agoMerge branch 'master' into eddie/fix_retime
Eddie Hung [Thu, 18 Apr 2019 14:57:17 +0000 (07:57 -0700)]
Merge branch 'master' into eddie/fix_retime

5 years agoImprove proc full_case detection and handling, fixes #931
Clifford Wolf [Thu, 18 Apr 2019 13:07:43 +0000 (15:07 +0200)]
Improve proc full_case detection and handling, fixes #931

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoUpdate to ABC d1b6413
Clifford Wolf [Wed, 17 Apr 2019 11:51:34 +0000 (13:51 +0200)]
Update to ABC d1b6413

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge pull request #939 from YosysHQ/revert895
Eddie Hung [Tue, 16 Apr 2019 18:59:21 +0000 (11:59 -0700)]
Merge pull request #939 from YosysHQ/revert895

Revert #895 (mux-to-shiftx optimisation)

5 years agoRevert #895
Eddie Hung [Tue, 16 Apr 2019 18:07:51 +0000 (11:07 -0700)]
Revert #895

5 years agoMerge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch
Eddie Hung [Tue, 16 Apr 2019 01:39:20 +0000 (18:39 -0700)]
Merge pull request #937 from YosysHQ/revert-932-eddie/fixdlatch

Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoRevert "Recognise default entry in case even if all cases covered (fix for #931)"
Eddie Hung [Tue, 16 Apr 2019 00:52:45 +0000 (17:52 -0700)]
Revert "Recognise default entry in case even if all cases covered (fix for #931)"

5 years agoMerge pull request #936 from YosysHQ/README-fix-quotes
Eddie Hung [Mon, 15 Apr 2019 19:22:05 +0000 (12:22 -0700)]
Merge pull request #936 from YosysHQ/README-fix-quotes

README: fix some incorrect quoting

5 years agoREADME: fix some incorrect quoting.
whitequark [Mon, 15 Apr 2019 14:29:46 +0000 (14:29 +0000)]
README: fix some incorrect quoting.

5 years agoGoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow
Diego [Sat, 13 Apr 2019 04:40:02 +0000 (23:40 -0500)]
GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow

5 years agoMerge pull request #928 from litghost/add_xc7_sim_models
Eddie Hung [Fri, 12 Apr 2019 18:52:45 +0000 (11:52 -0700)]
Merge pull request #928 from litghost/add_xc7_sim_models

Add additional cells sim models for core 7-series primitives.

5 years agoRemove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
Keith Rothman [Fri, 12 Apr 2019 16:30:49 +0000 (09:30 -0700)]
Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoMerge pull request #933 from dh73/master
Clifford Wolf [Fri, 12 Apr 2019 12:57:36 +0000 (14:57 +0200)]
Merge pull request #933 from dh73/master

Fixing issues in CycloneV cell sim

5 years agoMerge pull request #932 from YosysHQ/eddie/fixdlatch
Clifford Wolf [Fri, 12 Apr 2019 12:57:01 +0000 (14:57 +0200)]
Merge pull request #932 from YosysHQ/eddie/fixdlatch

Recognise default entry in case even if all cases covered (fix for #931)

5 years agoFixing issues in CycloneV cell sim
Diego [Fri, 12 Apr 2019 00:59:03 +0000 (19:59 -0500)]
Fixing issues in CycloneV cell sim

5 years agoFix ordering of when to insert zero index
Eddie Hung [Thu, 11 Apr 2019 23:25:59 +0000 (16:25 -0700)]
Fix ordering of when to insert zero index

5 years agoMore unused
Eddie Hung [Thu, 11 Apr 2019 23:20:43 +0000 (16:20 -0700)]
More unused

5 years agoRemove unused
Eddie Hung [Thu, 11 Apr 2019 23:18:01 +0000 (16:18 -0700)]
Remove unused

5 years agoFixes
Eddie Hung [Thu, 11 Apr 2019 23:17:09 +0000 (16:17 -0700)]
Fixes

5 years agoWIP
Eddie Hung [Thu, 11 Apr 2019 22:52:04 +0000 (15:52 -0700)]
WIP

5 years agoSpelling fixes
Eddie Hung [Thu, 11 Apr 2019 22:09:13 +0000 (15:09 -0700)]
Spelling fixes

5 years agoAdd default entry to testcase
Eddie Hung [Thu, 11 Apr 2019 22:03:40 +0000 (15:03 -0700)]
Add default entry to testcase

5 years agoRecognise default entry in case even if all cases covered (#931)
Eddie Hung [Thu, 11 Apr 2019 19:34:51 +0000 (12:34 -0700)]
Recognise default entry in case even if all cases covered (#931)

5 years agosynth_* with -retime option now calls abc with -D 1 as well
Eddie Hung [Wed, 10 Apr 2019 15:32:53 +0000 (08:32 -0700)]
synth_* with -retime option now calls abc with -D 1 as well

5 years agoRevert "abc -dff now implies "-D 0" otherwise retiming doesn't happen"
Eddie Hung [Wed, 10 Apr 2019 15:31:40 +0000 (08:31 -0700)]
Revert "abc -dff now implies "-D 0" otherwise retiming doesn't happen"

This reverts commit 19271bd996a79cb4be1db658fcf18227ee0a1dff.

5 years agoRevert ""&nf -D 0" fails => use "-D 1" instead"
Eddie Hung [Wed, 10 Apr 2019 15:31:35 +0000 (08:31 -0700)]
Revert ""&nf -D 0" fails => use "-D 1" instead"

This reverts commit 3c253818cab2013dc4db55732d3e21cfa0dc3f19.

5 years agoMerge remote-tracking branch 'origin/master' into eddie/fix_retime
Eddie Hung [Wed, 10 Apr 2019 15:23:00 +0000 (08:23 -0700)]
Merge remote-tracking branch 'origin/master' into eddie/fix_retime

5 years agoFix LUT6_2 definition.
Keith Rothman [Tue, 9 Apr 2019 18:43:19 +0000 (11:43 -0700)]
Fix LUT6_2 definition.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agosupport repeat loops with constant repeat counts outside of constant functions
Zachary Snow [Tue, 9 Apr 2019 16:28:32 +0000 (12:28 -0400)]
support repeat loops with constant repeat counts outside of constant functions

5 years agoAdd additional cells sim models for core 7-series primatives.
Keith Rothman [Tue, 9 Apr 2019 16:01:53 +0000 (09:01 -0700)]
Add additional cells sim models for core 7-series primatives.

Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
5 years agoFix a few typos
Eddie Hung [Mon, 8 Apr 2019 23:46:33 +0000 (16:46 -0700)]
Fix a few typos

5 years ago$_XILINX_SHREG_ to preserve src attribute
Eddie Hung [Mon, 8 Apr 2019 23:24:20 +0000 (16:24 -0700)]
$_XILINX_SHREG_ to preserve src attribute

5 years agoUpdate CHANGELOG
Eddie Hung [Mon, 8 Apr 2019 23:22:07 +0000 (16:22 -0700)]
Update CHANGELOG

5 years agoMerge branch 'undo_pr895' into xc7srl
Eddie Hung [Mon, 8 Apr 2019 23:07:52 +0000 (16:07 -0700)]
Merge branch 'undo_pr895' into xc7srl

5 years agoUndo #895 by instead setting an attribute
Eddie Hung [Mon, 8 Apr 2019 23:05:24 +0000 (16:05 -0700)]
Undo #895 by instead setting an attribute

5 years agoCope with undoing #895
Eddie Hung [Mon, 8 Apr 2019 22:57:07 +0000 (15:57 -0700)]
Cope with undoing #895

5 years agoMerge pull request #919 from YosysHQ/multiport_transp
Clifford Wolf [Mon, 8 Apr 2019 19:14:05 +0000 (21:14 +0200)]
Merge pull request #919 from YosysHQ/multiport_transp

memory_bram: Fix multiport make_transp

5 years agoRevert "Remove handling for $pmux, since #895"
Eddie Hung [Mon, 8 Apr 2019 19:01:06 +0000 (12:01 -0700)]
Revert "Remove handling for $pmux, since #895"

This reverts commit aa693d5723ef1438d42cd35a26673703b1eff79f.

5 years agomemory_bram: Fix multiport make_transp
David Shah [Sun, 7 Apr 2019 15:56:31 +0000 (16:56 +0100)]
memory_bram: Fix multiport make_transp

Signed-off-by: David Shah <dave@ds0.me>
5 years agoSuppress error from the compiler run during libboost-python* detection
Benedikt Tutzer [Sun, 7 Apr 2019 08:11:35 +0000 (10:11 +0200)]
Suppress error from the compiler run during libboost-python* detection

5 years agoCall shregmap twice -- once for variable, another for fixed
Eddie Hung [Sat, 6 Apr 2019 00:35:49 +0000 (17:35 -0700)]
Call shregmap twice -- once for variable, another for fixed

5 years agoMerge branch 'eddie/fix_retime' into xc7srl
Eddie Hung [Fri, 5 Apr 2019 23:30:17 +0000 (16:30 -0700)]
Merge branch 'eddie/fix_retime' into xc7srl

5 years agoAdd retime test
Eddie Hung [Fri, 5 Apr 2019 23:28:46 +0000 (16:28 -0700)]
Add retime test

5 years agoFix S0 -> S1
Eddie Hung [Fri, 5 Apr 2019 23:28:14 +0000 (16:28 -0700)]
Fix S0 -> S1

5 years agoMove dffinit til after abc
Eddie Hung [Fri, 5 Apr 2019 23:20:43 +0000 (16:20 -0700)]
Move dffinit til after abc

5 years agoMerge branch 'eddie/fix_retime' into xc7srl
Eddie Hung [Fri, 5 Apr 2019 22:46:18 +0000 (15:46 -0700)]
Merge branch 'eddie/fix_retime' into xc7srl

5 years agoMove techamp t:$_DFF_?N? to before abc call
Eddie Hung [Fri, 5 Apr 2019 22:39:05 +0000 (15:39 -0700)]
Move techamp t:$_DFF_?N? to before abc call

5 years agoRetry
Eddie Hung [Fri, 5 Apr 2019 22:31:54 +0000 (15:31 -0700)]
Retry

5 years ago"&nf -D 0" fails => use "-D 1" instead
Eddie Hung [Fri, 5 Apr 2019 22:30:19 +0000 (15:30 -0700)]
"&nf -D 0" fails => use "-D 1" instead

5 years agoResolve @daveshah1 comment, update synth_xilinx help
Eddie Hung [Fri, 5 Apr 2019 22:15:13 +0000 (15:15 -0700)]
Resolve @daveshah1 comment, update synth_xilinx help

5 years agosynth_xilinx to techmap FFs after abc call, otherwise -retime fails
Eddie Hung [Fri, 5 Apr 2019 21:43:06 +0000 (14:43 -0700)]
synth_xilinx to techmap FFs after abc call, otherwise -retime fails

5 years agoabc -dff now implies "-D 0" otherwise retiming doesn't happen
Eddie Hung [Fri, 5 Apr 2019 21:42:25 +0000 (14:42 -0700)]
abc -dff now implies "-D 0" otherwise retiming doesn't happen

5 years agotechmap inside map_cells stage
Eddie Hung [Fri, 5 Apr 2019 19:55:52 +0000 (12:55 -0700)]
techmap inside map_cells stage

5 years agoAdd "read_ilang -lib"
Clifford Wolf [Fri, 5 Apr 2019 15:31:49 +0000 (17:31 +0200)]
Add "read_ilang -lib"

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoAutodetect Python paths and boost python libraries for different distributions
Benedikt Tutzer [Fri, 5 Apr 2019 09:56:01 +0000 (11:56 +0200)]
Autodetect Python paths and boost python libraries for different distributions

5 years agoAdded missing argument checking to "mutate" command
Clifford Wolf [Thu, 4 Apr 2019 16:10:10 +0000 (18:10 +0200)]
Added missing argument checking to "mutate" command

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge branch 'map_cells_before_map_luts' into xc7srl
Eddie Hung [Thu, 4 Apr 2019 15:13:34 +0000 (08:13 -0700)]
Merge branch 'map_cells_before_map_luts' into xc7srl

5 years agoMissing techmap entry in help
Eddie Hung [Thu, 4 Apr 2019 15:13:10 +0000 (08:13 -0700)]
Missing techmap entry in help

5 years agoUse soft-logic, not LUT3 instantiation
Eddie Hung [Thu, 4 Apr 2019 15:10:40 +0000 (08:10 -0700)]
Use soft-logic, not LUT3 instantiation

5 years agoMerge branch 'map_cells_before_map_luts' into xc7srl
Eddie Hung [Thu, 4 Apr 2019 14:54:42 +0000 (07:54 -0700)]
Merge branch 'map_cells_before_map_luts' into xc7srl

5 years agosynth_xilinx to map_cells before map_luts
Eddie Hung [Thu, 4 Apr 2019 14:48:13 +0000 (07:48 -0700)]
synth_xilinx to map_cells before map_luts

5 years agoCleanup comments
Eddie Hung [Thu, 4 Apr 2019 14:41:40 +0000 (07:41 -0700)]
Cleanup comments

5 years agot:$dff* -> t:$dff t:$dffe
Eddie Hung [Thu, 4 Apr 2019 14:39:19 +0000 (07:39 -0700)]
t:$dff* -> t:$dff t:$dffe

5 years agoUsed PyImport_ImportModule instead of PyImport_Import to avoid the explicit conversio...
Benedikt Tutzer [Thu, 4 Apr 2019 08:35:01 +0000 (10:35 +0200)]
Used PyImport_ImportModule instead of PyImport_Import to avoid the explicit conversion to a python string

5 years agoRemoved link to experimental filesystem library
Benedikt Tutzer [Thu, 4 Apr 2019 07:51:14 +0000 (09:51 +0200)]
Removed link to experimental filesystem library

5 years agoChanged filesystem dependency to boost instead of experimental std library
Benedikt Tutzer [Thu, 4 Apr 2019 07:24:50 +0000 (09:24 +0200)]
Changed filesystem dependency to boost instead of experimental std library

5 years agoRemove handling for $pmux, since #895
Eddie Hung [Wed, 3 Apr 2019 15:35:32 +0000 (08:35 -0700)]
Remove handling for $pmux, since #895

5 years ago-nosrl meant when -nobram
Eddie Hung [Wed, 3 Apr 2019 15:28:07 +0000 (08:28 -0700)]
-nosrl meant when -nobram

5 years agoRemove duplicate STARTUPE2
Eddie Hung [Wed, 3 Apr 2019 15:14:09 +0000 (08:14 -0700)]
Remove duplicate STARTUPE2

5 years agoRemoved compiler flags that are clang specific
Benedikt Tutzer [Wed, 3 Apr 2019 14:19:47 +0000 (16:19 +0200)]
Removed compiler flags that are clang specific

5 years agoDisable shregmap in synth_xilinx if -retime
Eddie Hung [Wed, 3 Apr 2019 14:14:20 +0000 (07:14 -0700)]
Disable shregmap in synth_xilinx if -retime

5 years agoAdd changelog entry
Eddie Hung [Wed, 3 Apr 2019 14:05:28 +0000 (07:05 -0700)]
Add changelog entry

5 years agoEven less options for the preprocessor
Benedikt Tutzer [Wed, 3 Apr 2019 13:34:31 +0000 (15:34 +0200)]
Even less options for the preprocessor

5 years agoMerge pull request #913 from smunaut/fix_proc_mux
Eddie Hung [Wed, 3 Apr 2019 13:27:41 +0000 (06:27 -0700)]
Merge pull request #913 from smunaut/fix_proc_mux

proc_mux: Fix crash when trying to optimize non-existant mux to shiftx

5 years agoPreprocessing does not need all the flags
Benedikt Tutzer [Wed, 3 Apr 2019 13:13:58 +0000 (15:13 +0200)]
Preprocessing does not need all the flags

5 years agoproc_mux: Fix crash when trying to optimize non-existant mux to shiftx
Sylvain Munaut [Wed, 3 Apr 2019 12:50:12 +0000 (14:50 +0200)]
proc_mux: Fix crash when trying to optimize non-existant mux to shiftx

last_mux_cell can be NULL ...

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
5 years agoGlobal lists in rtlil.cc are now static objects
Benedikt Tutzer [Wed, 3 Apr 2019 12:27:39 +0000 (14:27 +0200)]
Global lists in rtlil.cc are now static objects

5 years agoAdded cross-platform support for plugin-paths
Benedikt Tutzer [Wed, 3 Apr 2019 11:21:40 +0000 (13:21 +0200)]
Added cross-platform support for plugin-paths

5 years agoMerge remote-tracking branch 'origin/master' into xc7srl
Eddie Hung [Wed, 3 Apr 2019 10:36:11 +0000 (03:36 -0700)]
Merge remote-tracking branch 'origin/master' into xc7srl

5 years agoImproved Error reporting when Python passes are loaded
Benedikt Tutzer [Wed, 3 Apr 2019 10:21:56 +0000 (12:21 +0200)]
Improved Error reporting when Python passes are loaded

5 years agoAdded support for changing Yosys namespace
Benedikt Tutzer [Wed, 3 Apr 2019 10:21:21 +0000 (12:21 +0200)]
Added support for changing Yosys namespace

5 years agoAdded cell_stats example
Benedikt Tutzer [Wed, 3 Apr 2019 09:24:50 +0000 (11:24 +0200)]
Added cell_stats example

5 years agoAdded dependencies to README and travis configuration
Benedikt Tutzer [Wed, 3 Apr 2019 09:18:34 +0000 (11:18 +0200)]
Added dependencies to README and travis configuration

5 years agoAutodetect highest installed python version
Benedikt Tutzer [Wed, 3 Apr 2019 09:17:50 +0000 (11:17 +0200)]
Autodetect highest installed python version

5 years agoMerge pull request #912 from YosysHQ/bram_addr_en
Clifford Wolf [Wed, 3 Apr 2019 08:00:18 +0000 (10:00 +0200)]
Merge pull request #912 from YosysHQ/bram_addr_en

memory_bram: Consider read enable for address expansion register

5 years agoMerge pull request #910 from ucb-bar/memupdates
Clifford Wolf [Wed, 3 Apr 2019 07:59:11 +0000 (09:59 +0200)]
Merge pull request #910 from ucb-bar/memupdates

Refine memory support to deal with general Verilog memory definitions.

5 years agomemory_bram: Consider read enable for address expansion register
David Shah [Tue, 2 Apr 2019 18:47:50 +0000 (19:47 +0100)]
memory_bram: Consider read enable for address expansion register

Signed-off-by: David Shah <dave@ds0.me>
5 years agoMake nobram false by default for gowin
Miodrag Milanovic [Tue, 2 Apr 2019 17:21:01 +0000 (19:21 +0200)]
Make nobram false by default for gowin

5 years agoMerge pull request #895 from YosysHQ/pmux2shiftx
Eddie Hung [Tue, 2 Apr 2019 07:16:14 +0000 (00:16 -0700)]
Merge pull request #895 from YosysHQ/pmux2shiftx

RFC: Add a pmux-to-shiftx optimisation to proc_mux

5 years agoRefine memory support to deal with general Verilog memory definitions.
Jim Lawson [Mon, 1 Apr 2019 22:02:12 +0000 (15:02 -0700)]
Refine memory support to deal with general Verilog memory definitions.

5 years agoRemoved generation of commented-out code
Benedikt Tutzer [Mon, 1 Apr 2019 13:05:30 +0000 (15:05 +0200)]
Removed generation of commented-out code

5 years agoUse addition assignment operator
Benedikt Tutzer [Mon, 1 Apr 2019 11:39:38 +0000 (13:39 +0200)]
Use addition assignment operator

5 years agoFixed identation
Benedikt Tutzer [Mon, 1 Apr 2019 11:36:01 +0000 (13:36 +0200)]
Fixed identation

5 years agoMerge pull request #907 from YosysHQ/clifford/fix906
Clifford Wolf [Fri, 29 Mar 2019 23:09:42 +0000 (00:09 +0100)]
Merge pull request #907 from YosysHQ/clifford/fix906

Build Verilog parser with -DYYMAXDEPTH=100000

5 years agoBuild Verilog parser with -DYYMAXDEPTH=100000, fixes #906
Clifford Wolf [Fri, 29 Mar 2019 15:32:44 +0000 (16:32 +0100)]
Build Verilog parser with -DYYMAXDEPTH=100000, fixes #906

Signed-off-by: Clifford Wolf <clifford@clifford.at>
5 years agoMerge remote-tracking branch 'origin/master' into feature/python_bindings
Benedikt Tutzer [Thu, 28 Mar 2019 11:16:39 +0000 (12:16 +0100)]
Merge remote-tracking branch 'origin/master' into feature/python_bindings