synth_* with -retime option now calls abc with -D 1 as well
authorEddie Hung <eddie@fpgeh.com>
Wed, 10 Apr 2019 15:32:53 +0000 (08:32 -0700)
committerEddie Hung <eddie@fpgeh.com>
Wed, 10 Apr 2019 15:32:53 +0000 (08:32 -0700)
commit9a6da9a79a22e984ee3eec02caa230b66f10e11a
tree01180d7b91dedcbd5a06ae2a89fb9ad62ad55a13
parent5f4024ffd2a59e3c0c7edce4057c47d3a005e18f
synth_* with -retime option now calls abc with -D 1 as well
techlibs/achronix/synth_achronix.cc
techlibs/anlogic/synth_anlogic.cc
techlibs/coolrunner2/synth_coolrunner2.cc
techlibs/easic/synth_easic.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/gowin/synth_gowin.cc
techlibs/greenpak4/synth_greenpak4.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/sf2/synth_sf2.cc
techlibs/xilinx/synth_xilinx.cc