From 0985c4b16ff6b14170f51819093a7139dbf29067 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Sun, 28 Nov 2021 14:38:50 +0000 Subject: [PATCH] update SRLatch API to include q_int --- src/nmutil/latch.py | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/src/nmutil/latch.py b/src/nmutil/latch.py index 5cf50bc..f4c03ab 100644 --- a/src/nmutil/latch.py +++ b/src/nmutil/latch.py @@ -62,24 +62,25 @@ class SRLatch(Elaboratable): self.llen = llen s_n, r_n = mkname("s", name), mkname("r", name) q_n, qn_n = mkname("q", name), mkname("qn", name) + qint = mkname("qint", name) qlq_n = mkname("qlq", name) self.s = Signal(llen, name=s_n, reset=0) self.r = Signal(llen, name=r_n, reset=(1<