From 19a3b3732cc0ab25858b99ce29a172abcfe1fd43 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 3 Sep 2016 18:49:53 +0200 Subject: [PATCH] Minor README updates --- README | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/README b/README index 3f1591bb2..a427bd07f 100644 --- a/README +++ b/README @@ -52,12 +52,12 @@ You need a C++ compiler with C++11 support (up-to-date CLANG or GCC is recommended) and some standard tools such as GNU Flex, GNU Bison, and GNU Make. TCL, readline and libffi are optional (see ENABLE_* settings in Makefile). Xdot (graphviz) is used by the "show" command in yosys to display schematics. -For example on Ubuntu Linux 14.04 LTS the following commands will install all +For example on Ubuntu Linux 16.04 LTS the following commands will install all prerequisites for building yosys: - $ yosys_deps="build-essential clang bison flex libreadline-dev gawk - tcl-dev libffi-dev git mercurial graphviz xdot pkg-config python3" - $ sudo apt-get install $yosys_deps + $ sudo apt-get install build-essential clang bison flex \ + libreadline-dev gawk tcl-dev libffi-dev git mercurial \ + graphviz xdot pkg-config python3 There are also pre-compiled Yosys binary packages for Ubuntu and Win32 as well as a source distribution for Visual Studio. Visit the Yosys download page for -- 2.30.2