From 3daf8c6e35274c06b13bb6bd03a3a5da1d8c6bd3 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Mon, 18 Mar 2019 17:35:21 +0000 Subject: [PATCH] rename o_comb to result in comb block --- src/add/example_buf_pipe.py | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/add/example_buf_pipe.py b/src/add/example_buf_pipe.py index 856fc7ab..64c92299 100644 --- a/src/add/example_buf_pipe.py +++ b/src/add/example_buf_pipe.py @@ -319,20 +319,20 @@ class CombPipe: # set up the input and output data self.i.data = stage.ispec() # input type self.r_data = stage.ispec() # input type - self.o_comb = stage.ospec() # output data + self.result = stage.ospec() # output data self.o.data = stage.ospec() # output type self.o.data.name = "outdata" def elaborate(self, platform): m = Module() - m.d.comb += eq(self.o_comb, self.stage.process(self.r_data)) + m.d.comb += eq(self.result, self.stage.process(self.r_data)) m.d.comb += self.o.n_valid.eq(self._data_valid) m.d.comb += self.o.p_ready.eq(~self._data_valid | self.i.n_ready) m.d.sync += self._data_valid.eq(self.i.p_valid | \ (~self.i.n_ready & self._data_valid)) with m.If(self.i.p_valid & self.o.p_ready): m.d.sync += eq(self.r_data, self.i.data) - m.d.comb += eq(self.o.data, self.o_comb) + m.d.comb += eq(self.o.data, self.result) return m def ports(self): -- 2.30.2