From 3ebb1364aaa5708fe44f270367763fbb4901647f Mon Sep 17 00:00:00 2001 From: lkcl Date: Tue, 9 Jun 2020 22:14:47 +0100 Subject: [PATCH] --- Documentation/SOC/index.mdwn | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Documentation/SOC/index.mdwn b/Documentation/SOC/index.mdwn index 0e147de2d..4f1b4c300 100644 --- a/Documentation/SOC/index.mdwn +++ b/Documentation/SOC/index.mdwn @@ -81,6 +81,6 @@ where the original hardcoded cascade can be seen. The docstring for power_decoder.py gives mire details: each levrl in the hierarchy, just as in the original decode1.vhdl, will take slices of the instruction bitpattern, match against it, and if successful will continue with further subdecoders until a line is met that contains the Operand Information (a PowerOp) exactly as shown at the top of this page. -In this way, different sections of the instruction are successively decoded (major opcode, then minor opcode, then sub-patterns under those) until the required instruction is fully recognised, and the hierarchical cascade of switch patterns results in a flat interpretation being produced tgat is useful internally. +In this way, different sections of the instruction are successively decoded (major opcode, then minor opcode, then sub-patterns under those) until the required instruction is fully recognised, and the hierarchical cascade of switch patterns results in a flat interpretation being produced that is useful internally. -- 2.30.2