From 401f3cc685d8ac07cea6ec0e807c3508d7be903e Mon Sep 17 00:00:00 2001 From: Sylvain Munaut Date: Mon, 20 Jan 2020 09:30:49 +0100 Subject: [PATCH] vendor.lattice_{ice40,ecp5}: Support .il (RTLIL) files in extra_files --- nmigen/vendor/lattice_ecp5.py | 3 +++ nmigen/vendor/lattice_ice40.py | 3 +++ 2 files changed, 6 insertions(+) diff --git a/nmigen/vendor/lattice_ecp5.py b/nmigen/vendor/lattice_ecp5.py index 058c3e2..e1961e3 100644 --- a/nmigen/vendor/lattice_ecp5.py +++ b/nmigen/vendor/lattice_ecp5.py @@ -115,6 +115,9 @@ class LatticeECP5Platform(TemplatedPlatform): {% for file in platform.iter_extra_files(".sv") -%} read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} + {% for file in platform.iter_extra_files(".il") -%} + read_ilang {{file}} + {% endfor %} read_ilang {{name}}.il {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}} diff --git a/nmigen/vendor/lattice_ice40.py b/nmigen/vendor/lattice_ice40.py index 183a79f..370f750 100644 --- a/nmigen/vendor/lattice_ice40.py +++ b/nmigen/vendor/lattice_ice40.py @@ -120,6 +120,9 @@ class LatticeICE40Platform(TemplatedPlatform): {% for file in platform.iter_extra_files(".sv") -%} read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} + {% for file in platform.iter_extra_files(".il") -%} + read_ilang {{file}} + {% endfor %} read_ilang {{name}}.il {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} synth_ice40 {{get_override("synth_opts")|options}} -top {{name}} -- 2.30.2