From 463e5c7c3f302d626ba22b52ce6c345969b733cd Mon Sep 17 00:00:00 2001 From: whitequark Date: Thu, 6 Feb 2020 18:10:15 +0000 Subject: [PATCH] test_lib_fifo: define all referenced FSM states. Broken in commit a1c58633. --- nmigen/test/test_lib_fifo.py | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/nmigen/test/test_lib_fifo.py b/nmigen/test/test_lib_fifo.py index 7682bc9..38019f9 100644 --- a/nmigen/test/test_lib_fifo.py +++ b/nmigen/test/test_lib_fifo.py @@ -192,6 +192,8 @@ class FIFOContractSpec(Elaboratable): fifo.w_en.eq(1) ] m.next = "DONE" + with m.State("DONE"): + pass with m.FSM(domain=self.r_domain) as read_fsm: read_1 = Signal(fifo.width) @@ -209,6 +211,8 @@ class FIFOContractSpec(Elaboratable): ] with m.If((read_1 == entry_1) & (read_2 == entry_2)): m.next = "DONE" + with m.State("DONE"): + pass with m.If(Initial()): m.d.comb += Assume(write_fsm.ongoing("WRITE-1")) -- 2.30.2