From 5661f963588a86ca3424091cc59142b4bcd1f2d9 Mon Sep 17 00:00:00 2001 From: Jean THOMAS Date: Mon, 20 Jul 2020 13:08:47 +0200 Subject: [PATCH] Remove useless signal --- gram/phy/ecp5ddrphy.py | 1 - 1 file changed, 1 deletion(-) diff --git a/gram/phy/ecp5ddrphy.py b/gram/phy/ecp5ddrphy.py index 9f76e34..a286c8f 100644 --- a/gram/phy/ecp5ddrphy.py +++ b/gram/phy/ecp5ddrphy.py @@ -235,7 +235,6 @@ class ECP5DDRPHY(Peripheral, Elaboratable): m.d.sync += rdly.eq(0) with m.Elif(self._rdly_dq_inc.w_stb): m.d.sync += rdly.eq(rdly + 1) - datavalid = Signal() burstdet = Signal() dqs_read = Signal() dqs_bitslip = Signal(2) -- 2.30.2