From 6a163b5ddd378ba847054ad9226af8ca569c977a Mon Sep 17 00:00:00 2001 From: Eddie Hung Date: Fri, 17 Jan 2020 17:07:03 -0800 Subject: [PATCH] xilinx_dsp: another typo; move xilinx specific test --- passes/pmgen/xilinx_dsp.pmg | 2 +- tests/{various => arch/xilinx}/bug1462.ys | 0 2 files changed, 1 insertion(+), 1 deletion(-) rename tests/{various => arch/xilinx}/bug1462.ys (100%) diff --git a/passes/pmgen/xilinx_dsp.pmg b/passes/pmgen/xilinx_dsp.pmg index 20925c0dc..af47ab111 100644 --- a/passes/pmgen/xilinx_dsp.pmg +++ b/passes/pmgen/xilinx_dsp.pmg @@ -460,7 +460,7 @@ arg argD argQ clock code dff = nullptr; - if (argQ.empty() == 0) + if (argQ.empty()) reject; for (const auto &c : argQ.chunks()) { // Abandon matches when 'Q' is a constant diff --git a/tests/various/bug1462.ys b/tests/arch/xilinx/bug1462.ys similarity index 100% rename from tests/various/bug1462.ys rename to tests/arch/xilinx/bug1462.ys -- 2.30.2