From 79d69a73cf915d8e65db4ef024695c9f6d838b3d Mon Sep 17 00:00:00 2001 From: whitequark Date: Mon, 3 Jun 2019 16:14:59 +0000 Subject: [PATCH] vendor.board: extract package. --- examples/blinky.py | 2 +- nmigen/vendor/board/__init__.py | 0 nmigen/vendor/{ => board}/ice40_hx1k_blink_evn.py | 4 ++-- nmigen/vendor/{ => board}/icestick.py | 4 ++-- nmigen/vendor/{ => board}/tinyfpga_bx.py | 4 ++-- 5 files changed, 7 insertions(+), 7 deletions(-) create mode 100644 nmigen/vendor/board/__init__.py rename nmigen/vendor/{ => board}/ice40_hx1k_blink_evn.py (93%) rename nmigen/vendor/{ => board}/icestick.py (95%) rename nmigen/vendor/{ => board}/tinyfpga_bx.py (94%) diff --git a/examples/blinky.py b/examples/blinky.py index 3e9cc02..3228163 100644 --- a/examples/blinky.py +++ b/examples/blinky.py @@ -1,5 +1,5 @@ from nmigen import * -from nmigen.vendor.ice40_hx1k_blink_evn import * +from nmigen.vendor.board.ice40_hx1k_blink_evn import * class Blinky(Elaboratable): diff --git a/nmigen/vendor/board/__init__.py b/nmigen/vendor/board/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/nmigen/vendor/ice40_hx1k_blink_evn.py b/nmigen/vendor/board/ice40_hx1k_blink_evn.py similarity index 93% rename from nmigen/vendor/ice40_hx1k_blink_evn.py rename to nmigen/vendor/board/ice40_hx1k_blink_evn.py index 9e2759d..1039527 100644 --- a/nmigen/vendor/ice40_hx1k_blink_evn.py +++ b/nmigen/vendor/board/ice40_hx1k_blink_evn.py @@ -1,5 +1,5 @@ -from ..build import * -from .fpga.lattice_ice40 import LatticeICE40Platform, IceBurnProgrammerMixin +from ...build import * +from ..fpga.lattice_ice40 import LatticeICE40Platform, IceBurnProgrammerMixin __all__ = ["ICE40HX1KBlinkEVNPlatform"] diff --git a/nmigen/vendor/icestick.py b/nmigen/vendor/board/icestick.py similarity index 95% rename from nmigen/vendor/icestick.py rename to nmigen/vendor/board/icestick.py index 917a363..0d2ae75 100644 --- a/nmigen/vendor/icestick.py +++ b/nmigen/vendor/board/icestick.py @@ -1,5 +1,5 @@ -from ..build import * -from .fpga.lattice_ice40 import LatticeICE40Platform, IceStormProgrammerMixin +from ...build import * +from ..fpga.lattice_ice40 import LatticeICE40Platform, IceStormProgrammerMixin __all__ = ["ICEStickPlatform"] diff --git a/nmigen/vendor/tinyfpga_bx.py b/nmigen/vendor/board/tinyfpga_bx.py similarity index 94% rename from nmigen/vendor/tinyfpga_bx.py rename to nmigen/vendor/board/tinyfpga_bx.py index bc66841..2c82146 100644 --- a/nmigen/vendor/tinyfpga_bx.py +++ b/nmigen/vendor/board/tinyfpga_bx.py @@ -1,5 +1,5 @@ -from ..build import * -from .fpga.lattice_ice40 import LatticeICE40Platform, TinyProgrammerMixin +from ...build import * +from ..fpga.lattice_ice40 import LatticeICE40Platform, TinyProgrammerMixin __all__ = ["TinyFPGABXPlatform"] -- 2.30.2