From 8d161a47cfbed926c567144cb4dc9069b5e80ea0 Mon Sep 17 00:00:00 2001 From: Florent Kermarrec Date: Wed, 14 Aug 2019 19:02:01 +0200 Subject: [PATCH] build/xilinx/vivado: remove with_phys_opt --- litex/build/xilinx/vivado.py | 4 ---- 1 file changed, 4 deletions(-) diff --git a/litex/build/xilinx/vivado.py b/litex/build/xilinx/vivado.py index 244288e0..b30f459b 100644 --- a/litex/build/xilinx/vivado.py +++ b/litex/build/xilinx/vivado.py @@ -105,7 +105,6 @@ class XilinxVivadoToolchain: self.bitstream_commands = [] self.additional_commands = [] self.pre_synthesis_commands = [] - self.with_phys_opt = False # deprecated -> vivado_post_place_phys_opt_directive self.incremental_implementation = False self.vivado_synth_directive = 'default' self.opt_directive = 'default' @@ -166,9 +165,6 @@ class XilinxVivadoToolchain: if self.incremental_implementation: tcl.append("read_checkpoint -incremental {}_route.dcp".format(build_name)) tcl.append("place_design -directive {}".format(self.vivado_place_directive)) - if self.with_phys_opt: - tools.deprecated_warning('with_phys_opt -> vivado_post_place_phys_opt_directive') - self.vivado_post_place_phys_opt_directive = 'AddRetime' if self.vivado_post_place_phys_opt_directive: tcl.append("phys_opt_design -directive {}".format(self.vivado_post_place_phys_opt_directive)) tcl.append("report_utilization -hierarchical -file {}_utilization_hierarchical_place.rpt".format(build_name)) -- 2.30.2