From 9190568685694189dff1e4c12d04c7f669736e46 Mon Sep 17 00:00:00 2001 From: David Carne Date: Wed, 17 Jul 2013 12:10:08 +0200 Subject: [PATCH] genlib/fifo/AsyncFIFO: fix data corruption bug --- migen/genlib/fifo.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/migen/genlib/fifo.py b/migen/genlib/fifo.py index d3958e87..12b8033d 100644 --- a/migen/genlib/fifo.py +++ b/migen/genlib/fifo.py @@ -126,7 +126,7 @@ class AsyncFIFO(Module, _FIFOInterface): rdport = storage.get_port(clock_domain="read") self.specials += rdport self.comb += [ - rdport.adr.eq(consume.q_binary[:-1]), + rdport.adr.eq(consume.q_next_binary[:-1]), self.dout_bits.eq(rdport.dat_r) ] -- 2.30.2