From 9b2dc53b855302e0620666d0e44bb8ef694d6009 Mon Sep 17 00:00:00 2001 From: whitequark Date: Fri, 28 Jun 2019 07:22:54 +0000 Subject: [PATCH] lib.cdc: eliminate no_retiming attributes. See #115 for rationale. --- nmigen/lib/cdc.py | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/nmigen/lib/cdc.py b/nmigen/lib/cdc.py index e68b9cb..5d52503 100644 --- a/nmigen/lib/cdc.py +++ b/nmigen/lib/cdc.py @@ -54,8 +54,8 @@ class MultiReg(Elaboratable): self.o = o self.odomain = odomain - self._regs = [Signal(self.i.shape(), name="cdc{}".format(i), - reset=reset, reset_less=reset_less, attrs={"no_retiming": True}) + self._regs = [Signal(self.i.shape(), name="cdc{}".format(i), reset=reset, + reset_less=reset_less) for i in range(n)] def elaborate(self, platform): @@ -74,8 +74,7 @@ class ResetSynchronizer(Elaboratable): self.arst = arst self.domain = domain - self._regs = [Signal(name="arst{}".format(i), reset=1, - attrs={"no_retiming": True}) + self._regs = [Signal(1, name="arst{}".format(i), reset=1) for i in range(n)] def elaborate(self, platform): -- 2.30.2