From af2094d94e183bc8795cccbb4df3e2558c511e90 Mon Sep 17 00:00:00 2001 From: lkcl Date: Sun, 27 Dec 2020 00:56:24 +0000 Subject: [PATCH] --- openpower/sv/vector_ops.mdwn | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/openpower/sv/vector_ops.mdwn b/openpower/sv/vector_ops.mdwn index 15012ad1e..e29ac09ca 100644 --- a/openpower/sv/vector_ops.mdwn +++ b/openpower/sv/vector_ops.mdwn @@ -254,4 +254,5 @@ Pseudo-code: # Carry-lookahead -https://media.geeksforgeeks.org/wp-content/uploads/digital_Logic6.png +* +* -- 2.30.2