From b42dd2fed42e38d5df7bde71bc934afeff1eab3f Mon Sep 17 00:00:00 2001 From: whitequark Date: Sun, 13 Oct 2019 22:17:46 +0000 Subject: [PATCH] vendor.lattice_{ice40,ecp5}: fix typo. --- nmigen/vendor/lattice_ecp5.py | 4 ++-- nmigen/vendor/lattice_ice40.py | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/nmigen/vendor/lattice_ecp5.py b/nmigen/vendor/lattice_ecp5.py index c114063..058c3e2 100644 --- a/nmigen/vendor/lattice_ecp5.py +++ b/nmigen/vendor/lattice_ecp5.py @@ -110,10 +110,10 @@ class LatticeECP5Platform(TemplatedPlatform): "{{name}}.ys": r""" # {{autogenerated}} {% for file in platform.iter_extra_files(".v") -%} - read_verilog {{get_override("read_opts")|options}} {{file}} + read_verilog {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} {% for file in platform.iter_extra_files(".sv") -%} - read_verilog -sv {{get_override("read_opts")|options}} {{file}} + read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} read_ilang {{name}}.il {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} diff --git a/nmigen/vendor/lattice_ice40.py b/nmigen/vendor/lattice_ice40.py index 163b48e..e618780 100644 --- a/nmigen/vendor/lattice_ice40.py +++ b/nmigen/vendor/lattice_ice40.py @@ -115,10 +115,10 @@ class LatticeICE40Platform(TemplatedPlatform): "{{name}}.ys": r""" # {{autogenerated}} {% for file in platform.iter_extra_files(".v") -%} - read_verilog {{get_override("read_opts")|options}} {{file}} + read_verilog {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} {% for file in platform.iter_extra_files(".sv") -%} - read_verilog -sv {{get_override("read_opts")|options}} {{file}} + read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} read_ilang {{name}}.il {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} -- 2.30.2