From ba7bfdeff2319077cb8a325e701afbbb17fa0073 Mon Sep 17 00:00:00 2001 From: Brian Paul Date: Tue, 16 Oct 2012 18:32:57 -0600 Subject: [PATCH] util: fix MSVC signed/unsigned comparison warning in u_vbuf.c code Reviewed-by: Jose Fonseca --- src/gallium/auxiliary/util/u_vbuf.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/gallium/auxiliary/util/u_vbuf.c b/src/gallium/auxiliary/util/u_vbuf.c index 52db294cbd4..1cc83c3dd39 100644 --- a/src/gallium/auxiliary/util/u_vbuf.c +++ b/src/gallium/auxiliary/util/u_vbuf.c @@ -98,7 +98,7 @@ struct u_vbuf { /* Vertex buffers for the driver. * There are no user buffers. */ struct pipe_vertex_buffer real_vertex_buffer[PIPE_MAX_ATTRIBS]; - int nr_real_vertex_buffers; + unsigned nr_real_vertex_buffers; boolean vertex_buffers_dirty; /* The index buffer. */ -- 2.30.2