From c06a1279090c504b04f3293fce20e29305f67f6f Mon Sep 17 00:00:00 2001 From: Florent Kermarrec Date: Mon, 4 May 2020 08:46:25 +0200 Subject: [PATCH] cpu/microwatt: add pythondata and fix build with it. --- litex/soc/cores/cpu/microwatt/core.py | 6 ++---- litex_setup.py | 1 + 2 files changed, 3 insertions(+), 4 deletions(-) diff --git a/litex/soc/cores/cpu/microwatt/core.py b/litex/soc/cores/cpu/microwatt/core.py index 76eb59dc..603a950d 100644 --- a/litex/soc/cores/cpu/microwatt/core.py +++ b/litex/soc/cores/cpu/microwatt/core.py @@ -102,9 +102,7 @@ class Microwatt(CPU): @staticmethod def add_sources(platform): - sdir = os.path.join( - get_data_mod("cpu", "microwatt").data_location, - "sources") + sdir = get_data_mod("cpu", "microwatt").data_location platform.add_sources(sdir, # Common / Types / Helpers "decode_types.vhdl", @@ -157,7 +155,7 @@ class Microwatt(CPU): "core_debug.vhdl", "core.vhdl", ) - platform.add_source(os.path.join(sdir, "..", "microwatt_wrapper.vhdl")) + platform.add_source(os.path.join(os.path.dirname(__file__), "microwatt_wrapper.vhdl")) def do_finalize(self): self.specials += Instance("microwatt_wrapper", **self.cpu_params) diff --git a/litex_setup.py b/litex_setup.py index f6d6b393..287cc076 100755 --- a/litex_setup.py +++ b/litex_setup.py @@ -47,6 +47,7 @@ repos = [ ("pythondata-cpu-vexriscv", ("https://github.com/litex-hub/", False, True)), ("pythondata-cpu-rocket", ("https://github.com/litex-hub/", False, True)), ("pythondata-cpu-minerva", ("https://github.com/litex-hub/", False, True)), + ("pythondata-cpu-microwatt", ("https://github.com/litex-hub/", False, True)), ] repos = OrderedDict(repos) -- 2.30.2